aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-10-11 14:50:33 +0100
committerDavid Shah <dave@ds0.me>2019-10-11 14:50:33 +0100
commite1d4e683b42bb1b75acb4054a94610cdc9fec0e7 (patch)
treea0c3c105c265ac6c21fd28c3035eb50d6f8d23bf /techlibs/ecp5
parent7b1a6706d801773ec44d00bda0fd292c50fe39b7 (diff)
downloadyosys-e1d4e683b42bb1b75acb4054a94610cdc9fec0e7.tar.gz
yosys-e1d4e683b42bb1b75acb4054a94610cdc9fec0e7.tar.bz2
yosys-e1d4e683b42bb1b75acb4054a94610cdc9fec0e7.zip
ecp5: Add ECLKBRIDGECS blackbox
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'techlibs/ecp5')
-rw-r--r--techlibs/ecp5/cells_bb.v7
1 files changed, 7 insertions, 0 deletions
diff --git a/techlibs/ecp5/cells_bb.v b/techlibs/ecp5/cells_bb.v
index 0a5046db2..ae124e7a3 100644
--- a/techlibs/ecp5/cells_bb.v
+++ b/techlibs/ecp5/cells_bb.v
@@ -334,6 +334,13 @@ module ECLKSYNCB(
endmodule
(* blackbox *)
+module ECLKBRIDGECS(
+ input CLK0, CLK1, SEL,
+ output ECSOUT
+);
+endmodule
+
+(* blackbox *)
module DCCA(
input CLKI, CE,
output CLKO