aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-08-23 11:21:44 -0700
committerEddie Hung <eddie@fpgeh.com>2019-08-23 11:21:44 -0700
commita270af00cc133ac03ec97cf81ed0a7146b7b225e (patch)
treed713de4d4a23b08b04d595a878bef89a6c872efa /techlibs/ecp5
parentbb2d5bc4f85ac95104fbd2591ad92ebf0c22e11d (diff)
downloadyosys-a270af00cc133ac03ec97cf81ed0a7146b7b225e.tar.gz
yosys-a270af00cc133ac03ec97cf81ed0a7146b7b225e.tar.bz2
yosys-a270af00cc133ac03ec97cf81ed0a7146b7b225e.zip
Put abc_* attributes above port
Diffstat (limited to 'techlibs/ecp5')
-rw-r--r--techlibs/ecp5/cells_sim.v15
1 files changed, 10 insertions, 5 deletions
diff --git a/techlibs/ecp5/cells_sim.v b/techlibs/ecp5/cells_sim.v
index 2fcb0369e..dc8334acb 100644
--- a/techlibs/ecp5/cells_sim.v
+++ b/techlibs/ecp5/cells_sim.v
@@ -17,10 +17,12 @@ endmodule
// ---------------------------------------
(* abc_box_id=1, lib_whitebox *)
module CCU2C(
- (* abc_carry *) input CIN,
+ (* abc_carry *)
+ input CIN,
input A0, B0, C0, D0, A1, B1, C1, D1,
output S0, S1,
- (* abc_carry *) output COUT
+ (* abc_carry *)
+ output COUT
);
parameter [15:0] INIT0 = 16'h0000;
parameter [15:0] INIT1 = 16'h0000;
@@ -109,9 +111,12 @@ endmodule
// ---------------------------------------
//(* abc_box_id=2 *)
module TRELLIS_DPR16X4 (
- (* abc_scc_break *) input [3:0] DI,
- (* abc_scc_break *) input [3:0] WAD,
- (* abc_scc_break *) input WRE,
+ (* abc_scc_break *)
+ input [3:0] DI,
+ (* abc_scc_break *)
+ input [3:0] WAD,
+ (* abc_scc_break *)
+ input WRE,
input WCK,
input [3:0] RAD,
output [3:0] DO