aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/ecp5/synth_ecp5.cc
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-12-27 16:57:08 -0800
committerEddie Hung <eddie@fpgeh.com>2019-12-27 16:57:08 -0800
commit71906fab51c60d22ee5b145df0429287ab9d2d65 (patch)
treee6beb76d364e184afd74b5f1ece2c51680ef213e /techlibs/ecp5/synth_ecp5.cc
parent9e6632c40ac24d8839bb76ca2f9674edfdc750f9 (diff)
downloadyosys-71906fab51c60d22ee5b145df0429287ab9d2d65.tar.gz
yosys-71906fab51c60d22ee5b145df0429287ab9d2d65.tar.bz2
yosys-71906fab51c60d22ee5b145df0429287ab9d2d65.zip
Nitpick cleanup for ecp5
Diffstat (limited to 'techlibs/ecp5/synth_ecp5.cc')
-rw-r--r--techlibs/ecp5/synth_ecp5.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/techlibs/ecp5/synth_ecp5.cc b/techlibs/ecp5/synth_ecp5.cc
index b71bb2395..a0ea6d1f9 100644
--- a/techlibs/ecp5/synth_ecp5.cc
+++ b/techlibs/ecp5/synth_ecp5.cc
@@ -230,7 +230,7 @@ struct SynthEcp5Pass : public ScriptPass
{
if (check_label("begin"))
{
- run("read_verilog -D_ABC -lib +/ecp5/cells_sim.v +/ecp5/cells_bb.v");
+ run("read_verilog -lib +/ecp5/cells_sim.v +/ecp5/cells_bb.v");
run(stringf("hierarchy -check %s", help_mode ? "-top <top>" : top_opt.c_str()));
}