aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/mul2dsp.v
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-09-25 17:24:11 -0700
committerEddie Hung <eddie@fpgeh.com>2019-09-25 17:24:11 -0700
commitf4387e817c3f75a06c9c94f307fa60572ea06383 (patch)
treead0627b51299a5407c7e3cd5a332d52829fe3666 /techlibs/common/mul2dsp.v
parentaeb15398182abf5de7e340976e204195ab80a739 (diff)
downloadyosys-f4387e817c3f75a06c9c94f307fa60572ea06383.tar.gz
yosys-f4387e817c3f75a06c9c94f307fa60572ea06383.tar.bz2
yosys-f4387e817c3f75a06c9c94f307fa60572ea06383.zip
Revert "No need for $__mul anymore?"
This reverts commit 1d875ac76a354f654f28b9632d83f6b43542e827.
Diffstat (limited to 'techlibs/common/mul2dsp.v')
-rw-r--r--techlibs/common/mul2dsp.v16
1 files changed, 8 insertions, 8 deletions
diff --git a/techlibs/common/mul2dsp.v b/techlibs/common/mul2dsp.v
index 8c6a836f8..953fc28d1 100644
--- a/techlibs/common/mul2dsp.v
+++ b/techlibs/common/mul2dsp.v
@@ -49,7 +49,7 @@ $fatal(1, "Macro DSP_NAME must be defined");
`define MAX(a,b) (a > b ? a : b)
`define MIN(a,b) (a < b ? a : b)
-(* techmap_celltype = "$mul" *)
+(* techmap_celltype = "$mul $__mul" *)
module _80_mul (A, B, Y);
parameter A_SIGNED = 0;
parameter B_SIGNED = 0;
@@ -128,9 +128,9 @@ module _80_mul (A, B, Y);
end
for (i = 0; i < n; i=i+1) begin:slice
- \$mul #(
+ \$__mul #(
.A_SIGNED(sign_headroom),
- .B_SIGNED(sign_headroom),
+ .B_SIGNED(B_SIGNED),
.A_WIDTH(`DSP_A_MAXWIDTH_PARTIAL),
.B_WIDTH(B_WIDTH),
.Y_WIDTH(partial_Y_WIDTH)
@@ -157,7 +157,7 @@ module _80_mul (A, B, Y);
end
end
- \$mul #(
+ \$__mul #(
.A_SIGNED(A_SIGNED),
.B_SIGNED(B_SIGNED),
.A_WIDTH(last_A_WIDTH),
@@ -193,8 +193,8 @@ module _80_mul (A, B, Y);
end
for (i = 0; i < n; i=i+1) begin:slice
- \$mul #(
- .A_SIGNED(sign_headroom),
+ \$__mul #(
+ .A_SIGNED(A_SIGNED),
.B_SIGNED(sign_headroom),
.A_WIDTH(A_WIDTH),
.B_WIDTH(`DSP_B_MAXWIDTH_PARTIAL),
@@ -222,7 +222,7 @@ module _80_mul (A, B, Y);
end
end
- \$mul #(
+ \$__mul #(
.A_SIGNED(A_SIGNED),
.B_SIGNED(B_SIGNED),
.A_WIDTH(A_WIDTH),
@@ -267,7 +267,7 @@ module _80_mul (A, B, Y);
endgenerate
endmodule
-(* techmap_celltype = "$mul" *)
+(* techmap_celltype = "$mul $__mul" *)
module _90_soft_mul (A, B, Y);
parameter A_SIGNED = 0;
parameter B_SIGNED = 0;