aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/common/mul2dsp.v
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-07-18 11:33:37 +0100
committerDavid Shah <dave@ds0.me>2019-07-18 11:33:37 +0100
commit16b0ccf04ca83d76f9bd182e125dd75fa838753a (patch)
tree8aa60cc33af7a870e1be3aa2459abc8a2ef607d4 /techlibs/common/mul2dsp.v
parent91629ee4b3aae3aa8243a659ffe1716ad5c432a2 (diff)
downloadyosys-16b0ccf04ca83d76f9bd182e125dd75fa838753a.tar.gz
yosys-16b0ccf04ca83d76f9bd182e125dd75fa838753a.tar.bz2
yosys-16b0ccf04ca83d76f9bd182e125dd75fa838753a.zip
mul2dsp: Lower partial products always have unsigned inputs
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'techlibs/common/mul2dsp.v')
-rw-r--r--techlibs/common/mul2dsp.v72
1 files changed, 41 insertions, 31 deletions
diff --git a/techlibs/common/mul2dsp.v b/techlibs/common/mul2dsp.v
index 7344bc5fe..31469ddeb 100644
--- a/techlibs/common/mul2dsp.v
+++ b/techlibs/common/mul2dsp.v
@@ -92,20 +92,25 @@ module \$__mul_gen (A, B, Y);
genvar i;
generate
if (A_WIDTH > `DSP_A_MAXWIDTH) begin
- localparam n_floored = A_WIDTH/`DSP_A_MAXWIDTH;
- localparam n = n_floored + (n_floored*`DSP_A_MAXWIDTH < A_WIDTH ? 1 : 0);
+`ifdef DSP_A_SIGNEDONLY
+ localparam sign_headroom = 1;
+`else
+ localparam sign_headroom = 0;
+`endif
+ localparam n_floored = A_WIDTH/(`DSP_A_MAXWIDTH - sign_headroom);
+ localparam n = n_floored + (n_floored*(`DSP_A_MAXWIDTH - sign_headroom) < A_WIDTH ? 1 : 0);
wire [`DSP_A_MAXWIDTH+B_WIDTH-1:0] partial [n-1:1];
wire [Y_WIDTH-1:0] partial_sum [n-2:0];
localparam int_yw = `MIN(Y_WIDTH, B_WIDTH+`DSP_A_MAXWIDTH);
\$__mul_gen #(
- .A_SIGNED(A_SIGNED),
+ .A_SIGNED(0),
.B_SIGNED(B_SIGNED),
.A_WIDTH(`DSP_A_MAXWIDTH),
.B_WIDTH(B_WIDTH),
.Y_WIDTH(int_yw)
) mul_slice_first (
- .A(A[`DSP_A_MAXWIDTH-1:0]),
+ .A({{sign_headroom{1'b0}}, A[`DSP_A_MAXWIDTH-sign_headroom-1:0]}),
.B(B),
.Y(partial_sum[0][int_yw-1:0])
);
@@ -114,73 +119,78 @@ module \$__mul_gen (A, B, Y);
for (i = 1; i < n-1; i=i+1) begin:slice
\$__mul_gen #(
- .A_SIGNED(A_SIGNED),
+ .A_SIGNED(0),
.B_SIGNED(B_SIGNED),
.A_WIDTH(`DSP_A_MAXWIDTH),
.B_WIDTH(B_WIDTH),
.Y_WIDTH(int_yw)
) mul_slice (
- .A(A[(i+1)*`DSP_A_MAXWIDTH-1:i*`DSP_A_MAXWIDTH]),
+ .A({{sign_headroom{1'b0}}, A[(i+1)*(`DSP_A_MAXWIDTH-sign_headroom)-1:i*(`DSP_A_MAXWIDTH-sign_headroom)]}),
.B(B),
.Y(partial[i][int_yw-1:0])
);
//assign partial_sum[i] = (partial[i] << i*`DSP_A_MAXWIDTH) + partial_sum[i-1];
assign partial_sum[i] = {
partial[i][int_yw-1:0]
- + partial_sum[i-1][Y_WIDTH-1:(i*`DSP_A_MAXWIDTH)],
- partial_sum[i-1][(i*`DSP_A_MAXWIDTH)-1:0]
+ + partial_sum[i-1][Y_WIDTH-1:(i*(`DSP_A_MAXWIDTH-sign_headroom))],
+ partial_sum[i-1][(i*(`DSP_A_MAXWIDTH-sign_headroom))-1:0]
};
end
\$__mul_gen #(
.A_SIGNED(A_SIGNED),
.B_SIGNED(B_SIGNED),
- .A_WIDTH(A_WIDTH-(n-1)*`DSP_A_MAXWIDTH),
+ .A_WIDTH(A_WIDTH-(n-1)*(`DSP_A_MAXWIDTH-sign_headroom)),
.B_WIDTH(B_WIDTH),
- .Y_WIDTH(`MIN(Y_WIDTH, A_WIDTH-(n-1)*`DSP_A_MAXWIDTH+B_WIDTH)),
+ .Y_WIDTH(`MIN(Y_WIDTH, A_WIDTH-(n-1)*(`DSP_A_MAXWIDTH-sign_headroom)+B_WIDTH)),
) mul_slice_last (
- .A(A[A_WIDTH-1:(n-1)*`DSP_A_MAXWIDTH]),
+ .A(A[A_WIDTH-1:(n-1)*(`DSP_A_MAXWIDTH-sign_headroom)]),
.B(B),
- .Y(partial[n-1][`MIN(Y_WIDTH, A_WIDTH-(n-1)*`DSP_A_MAXWIDTH+B_WIDTH)-1:0])
+ .Y(partial[n-1][`MIN(Y_WIDTH, A_WIDTH-(n-1)*(`DSP_A_MAXWIDTH-sign_headroom)+B_WIDTH)-1:0])
);
//assign Y = (partial[n-1] << (n-1)*`DSP_A_MAXWIDTH) + partial_sum[n-2];
assign Y = {
- partial[n-1][`MIN(Y_WIDTH, A_WIDTH-(n-1)*`DSP_A_MAXWIDTH+B_WIDTH):0]
- + partial_sum[n-2][Y_WIDTH-1:((n-1)*`DSP_A_MAXWIDTH)],
- partial_sum[n-2][((n-1)*`DSP_A_MAXWIDTH)-1:0]
+ partial[n-1][`MIN(Y_WIDTH, A_WIDTH-(n-1)*(`DSP_A_MAXWIDTH-sign_headroom)+B_WIDTH):0]
+ + partial_sum[n-2][Y_WIDTH-1:((n-1)*(`DSP_A_MAXWIDTH-sign_headroom))],
+ partial_sum[n-2][((n-1)*(`DSP_A_MAXWIDTH-sign_headroom))-1:0]
};
end
else if (B_WIDTH > `DSP_B_MAXWIDTH) begin
- localparam n_floored = B_WIDTH/`DSP_B_MAXWIDTH;
- localparam n = n_floored + (n_floored*`DSP_B_MAXWIDTH < B_WIDTH ? 1 : 0);
+`ifdef DSP_B_SIGNEDONLY
+ localparam sign_headroom = 1;
+`else
+ localparam sign_headroom = 0;
+`endif
+ localparam n_floored = B_WIDTH/(`DSP_B_MAXWIDTH - sign_headroom);
+ localparam n = n_floored + (n_floored*(`DSP_B_MAXWIDTH - sign_headroom) < B_WIDTH ? 1 : 0);
wire [A_WIDTH+`DSP_B_MAXWIDTH-1:0] partial [n-1:1];
wire [Y_WIDTH-1:0] partial_sum [n-2:0];
localparam int_yw = `MIN(Y_WIDTH, A_WIDTH+`DSP_B_MAXWIDTH);
\$__mul_gen #(
.A_SIGNED(A_SIGNED),
- .B_SIGNED(B_SIGNED),
+ .B_SIGNED(0),
.A_WIDTH(A_WIDTH),
.B_WIDTH(`DSP_B_MAXWIDTH),
.Y_WIDTH(int_yw)
) mul_first (
.A(A),
- .B(B[`DSP_B_MAXWIDTH-1:0]),
+ .B({{sign_headroom{1'b0}}, B[(`DSP_B_MAXWIDTH - sign_headroom)-1:0]}),
.Y(partial_sum[0][int_yw-1:0])
);
if (Y_WIDTH > int_yw)
- assign partial_sum[0][Y_WIDTH-1:A_WIDTH+`DSP_B_MAXWIDTH]=0;
+ assign partial_sum[0][Y_WIDTH-1:int_yw]=0;
for (i = 1; i < n-1; i=i+1) begin:slice
\$__mul_gen #(
.A_SIGNED(A_SIGNED),
- .B_SIGNED(B_SIGNED),
+ .B_SIGNED(0),
.A_WIDTH(A_WIDTH),
.B_WIDTH(`DSP_B_MAXWIDTH),
.Y_WIDTH(int_yw)
) mul (
.A(A),
- .B(B[(i+1)*`DSP_B_MAXWIDTH-1:i*`DSP_B_MAXWIDTH]),
+ .B({{sign_headroom{1'b0}}, B[(i+1)*(`DSP_B_MAXWIDTH - sign_headroom)-1:i*(`DSP_B_MAXWIDTH - sign_headroom)]}),
.Y(partial[i][int_yw-1:0])
);
//assign partial_sum[i] = (partial[i] << i*`DSP_B_MAXWIDTH) + partial_sum[i-1];
@@ -191,8 +201,8 @@ module \$__mul_gen (A, B, Y);
// partial_sum[i-1][A_WIDTH+((i-1)*`DSP_B_MAXWIDTH):0]
assign partial_sum[i] = {
partial[i][int_yw-1:0]
- + partial_sum[i-1][Y_WIDTH-1:(i*`DSP_B_MAXWIDTH)],
- partial_sum[i-1][(i*`DSP_B_MAXWIDTH)-1:0]
+ + partial_sum[i-1][Y_WIDTH-1:(i*(`DSP_B_MAXWIDTH - sign_headroom))],
+ partial_sum[i-1][(i*(`DSP_B_MAXWIDTH - sign_headroom))-1:0]
};
end
@@ -200,12 +210,12 @@ module \$__mul_gen (A, B, Y);
.A_SIGNED(A_SIGNED),
.B_SIGNED(B_SIGNED),
.A_WIDTH(A_WIDTH),
- .B_WIDTH(B_WIDTH-(n-1)*`DSP_B_MAXWIDTH),
- .Y_WIDTH(`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*`DSP_B_MAXWIDTH))
+ .B_WIDTH(B_WIDTH-(n-1)*(`DSP_B_MAXWIDTH - sign_headroom)),
+ .Y_WIDTH(`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*(`DSP_B_MAXWIDTH - sign_headroom)))
) mul_last (
.A(A),
- .B(B[B_WIDTH-1:(n-1)*`DSP_B_MAXWIDTH]),
- .Y(partial[n-1][`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*`DSP_B_MAXWIDTH)-1:0])
+ .B(B[B_WIDTH-1:(n-1)*(`DSP_B_MAXWIDTH - sign_headroom)]),
+ .Y(partial[n-1][`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*(`DSP_B_MAXWIDTH - sign_headroom))-1:0])
);
// AMD: this came comment out -- looks closer to right answer
//assign Y = (partial[n-1] << (n-1)*`DSP_B_MAXWIDTH) + partial_sum[n-2];
@@ -215,9 +225,9 @@ module \$__mul_gen (A, B, Y);
// partial[n-1][`DSP_B_MAXWIDTH-1:0] + partial_sum[n-2][A_WIDTH+((n-1)*`DSP_B_MAXWIDTH)-1:A_WIDTH+((n-2)*`DSP_B_MAXWIDTH)],
// partial_sum[n-2][A_WIDTH+((n-2)*`DSP_B_MAXWIDTH):0]
assign Y = {
- partial[n-1][`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*`DSP_B_MAXWIDTH)-1:0]
- + partial_sum[n-2][Y_WIDTH-1:((n-1)*`DSP_B_MAXWIDTH)],
- partial_sum[n-2][((n-1)*`DSP_B_MAXWIDTH)-1:0]
+ partial[n-1][`MIN(Y_WIDTH, A_WIDTH+B_WIDTH-(n-1)*(`DSP_B_MAXWIDTH - sign_headroom))-1:0]
+ + partial_sum[n-2][Y_WIDTH-1:((n-1)*(`DSP_B_MAXWIDTH - sign_headroom))],
+ partial_sum[n-2][((n-1)*(`DSP_B_MAXWIDTH - sign_headroom))-1:0]
};
end
else begin