aboutsummaryrefslogtreecommitdiffstats
path: root/techlibs/achronix/synth_achronix.cc
diff options
context:
space:
mode:
authorHenner Zeller <h.zeller@acm.org>2018-07-20 23:41:18 -0700
committerHenner Zeller <h.zeller@acm.org>2018-07-20 23:51:06 -0700
commit3aa4484a3cd9a2e82fddd499cde575eaf8c565cc (patch)
tree39d2caa1122c32a56231ecd99fe3364721b53c3c /techlibs/achronix/synth_achronix.cc
parent323f6f6f6006eadcaec180f2cc1556f1f3303be3 (diff)
downloadyosys-3aa4484a3cd9a2e82fddd499cde575eaf8c565cc.tar.gz
yosys-3aa4484a3cd9a2e82fddd499cde575eaf8c565cc.tar.bz2
yosys-3aa4484a3cd9a2e82fddd499cde575eaf8c565cc.zip
Consistent use of 'override' for virtual methods in derived classes.
o Not all derived methods were marked 'override', but it is a great feature of C++11 that we should make use of. o While at it: touched header files got a -*- c++ -*- for emacs to provide support for that language. o use YS_OVERRIDE for all override keywords (though we should probably use the plain keyword going forward now that C++11 is established)
Diffstat (limited to 'techlibs/achronix/synth_achronix.cc')
-rwxr-xr-xtechlibs/achronix/synth_achronix.cc8
1 files changed, 4 insertions, 4 deletions
diff --git a/techlibs/achronix/synth_achronix.cc b/techlibs/achronix/synth_achronix.cc
index 7f4503070..92b10781d 100755
--- a/techlibs/achronix/synth_achronix.cc
+++ b/techlibs/achronix/synth_achronix.cc
@@ -28,7 +28,7 @@ PRIVATE_NAMESPACE_BEGIN
struct SynthAchronixPass : public ScriptPass {
SynthAchronixPass() : ScriptPass("synth_achronix", "synthesis for Acrhonix Speedster22i FPGAs.") { }
- virtual void help() YS_OVERRIDE
+ void help() YS_OVERRIDE
{
// |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
log("\n");
@@ -63,7 +63,7 @@ struct SynthAchronixPass : public ScriptPass {
string top_opt, family_opt, vout_file;
bool retime, flatten;
- virtual void clear_flags() YS_OVERRIDE
+ void clear_flags() YS_OVERRIDE
{
top_opt = "-auto-top";
vout_file = "";
@@ -71,7 +71,7 @@ struct SynthAchronixPass : public ScriptPass {
flatten = true;
}
- virtual void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
+ void execute(std::vector<std::string> args, RTLIL::Design *design) YS_OVERRIDE
{
string run_from, run_to;
clear_flags();
@@ -118,7 +118,7 @@ struct SynthAchronixPass : public ScriptPass {
log_pop();
}
- virtual void script() YS_OVERRIDE
+ void script() YS_OVERRIDE
{
if (check_label("begin"))
{