aboutsummaryrefslogtreecommitdiffstats
path: root/passes
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-10-04 10:17:46 -0700
committerEddie Hung <eddie@fpgeh.com>2019-10-04 10:17:46 -0700
commit84f978bdc20494167a6a2c5f654b96c4f565a5e0 (patch)
tree01ef24ff25c56ad177e0236e8ace4c9e63e62b52 /passes
parenta9efd2e81cd502665ee034f64c85b11e34dfd9bb (diff)
downloadyosys-84f978bdc20494167a6a2c5f654b96c4f565a5e0.tar.gz
yosys-84f978bdc20494167a6a2c5f654b96c4f565a5e0.tar.bz2
yosys-84f978bdc20494167a6a2c5f654b96c4f565a5e0.zip
Add -async2sync to help text as per @daveshah1
Diffstat (limited to 'passes')
-rw-r--r--passes/equiv/equiv_opt.cc5
1 files changed, 4 insertions, 1 deletions
diff --git a/passes/equiv/equiv_opt.cc b/passes/equiv/equiv_opt.cc
index ec1200488..c7e6d71a6 100644
--- a/passes/equiv/equiv_opt.cc
+++ b/passes/equiv/equiv_opt.cc
@@ -50,6 +50,9 @@ struct EquivOptPass:public ScriptPass
log(" -multiclock\n");
log(" run clk2fflogic before equivalence checking.\n");
log("\n");
+ log(" -async2sync\n");
+ log(" run async2sync before equivalence checking.\n");
+ log("\n");
log(" -undef\n");
log(" enable modelling of undef states during equiv_induct.\n");
log("\n");
@@ -166,7 +169,7 @@ struct EquivOptPass:public ScriptPass
if (multiclock || help_mode)
run("clk2fflogic", "(only with -multiclock)");
if (async2sync || help_mode)
- run("async2sync", "(only with -async2sync)");
+ run("async2sync", " (only with -async2sync)");
run("equiv_make gold gate equiv");
if (help_mode)
run("equiv_induct [-undef] equiv");