aboutsummaryrefslogtreecommitdiffstats
path: root/passes/techmap/Makefile.inc
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2020-04-11 18:36:51 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2020-04-15 16:22:37 +0200
commit38a0c30d65584335fee3e17f9075711853638ac3 (patch)
tree755ca0e57e007803dabc023c284d960e4c305d03 /passes/techmap/Makefile.inc
parent85166633bc981b02e31167134917fc0b523e8eda (diff)
downloadyosys-38a0c30d65584335fee3e17f9075711853638ac3.tar.gz
yosys-38a0c30d65584335fee3e17f9075711853638ac3.tar.bz2
yosys-38a0c30d65584335fee3e17f9075711853638ac3.zip
Get rid of dffsr2dff.
This pass is a proper subset of opt_rmdff, which is called by opt, which is called by every synth flow in the coarse part. Thus, it never actually does anything and can be safely removed.
Diffstat (limited to 'passes/techmap/Makefile.inc')
-rw-r--r--passes/techmap/Makefile.inc1
1 files changed, 0 insertions, 1 deletions
diff --git a/passes/techmap/Makefile.inc b/passes/techmap/Makefile.inc
index bb3f6da98..766b954df 100644
--- a/passes/techmap/Makefile.inc
+++ b/passes/techmap/Makefile.inc
@@ -34,7 +34,6 @@ OBJS += passes/techmap/aigmap.o
OBJS += passes/techmap/tribuf.o
OBJS += passes/techmap/lut2mux.o
OBJS += passes/techmap/nlutmap.o
-OBJS += passes/techmap/dffsr2dff.o
OBJS += passes/techmap/shregmap.o
OBJS += passes/techmap/deminout.o
OBJS += passes/techmap/insbuf.o