aboutsummaryrefslogtreecommitdiffstats
path: root/passes/pmgen/ice40_dsp.pmg
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-09-20 12:03:45 -0700
committerEddie Hung <eddie@fpgeh.com>2019-09-20 12:03:45 -0700
commit1b892ca1be15864830253c2f67fd831de39020bd (patch)
tree666ad4e5243d5b89970616792d521f3e8ebe67a8 /passes/pmgen/ice40_dsp.pmg
parentd88903e6108f8afc8e74ee3d3e942b98c21e1ae9 (diff)
downloadyosys-1b892ca1be15864830253c2f67fd831de39020bd.tar.gz
yosys-1b892ca1be15864830253c2f67fd831de39020bd.tar.bz2
yosys-1b892ca1be15864830253c2f67fd831de39020bd.zip
Cleanup ice40_dsp.pmg
Diffstat (limited to 'passes/pmgen/ice40_dsp.pmg')
-rw-r--r--passes/pmgen/ice40_dsp.pmg18
1 files changed, 6 insertions, 12 deletions
diff --git a/passes/pmgen/ice40_dsp.pmg b/passes/pmgen/ice40_dsp.pmg
index 96fd8e5c9..19ee9054b 100644
--- a/passes/pmgen/ice40_dsp.pmg
+++ b/passes/pmgen/ice40_dsp.pmg
@@ -136,11 +136,9 @@ code argD ffFJKG sigH clock clock_pol
clock = dffclock;
clock_pol = dffclock_pol;
sigH = dffQ;
- }
- }
- if (0) {
-reject_ffFJKG: ;
+reject_ffFJKG: ;
+ }
}
endcode
@@ -168,11 +166,9 @@ code argD ffH sigH sigO clock clock_pol
clock = dffclock;
clock_pol = dffclock_pol;
sigH = dffQ;
- }
- }
- if (0) {
-reject_ffH: ;
+reject_ffH: ;
+ }
}
sigO = sigH;
@@ -312,11 +308,9 @@ code argQ ffCD ffCDholdmux ffCDholdpol ffCDrstpol sigCD clock clock_pol
clock = dffclock;
clock_pol = dffclock_pol;
sigCD = dffD;
- }
- }
- if (0) {
-reject_ffCD: ;
+reject_ffCD: ;
+ }
}
endcode