aboutsummaryrefslogtreecommitdiffstats
path: root/passes/opt/Makefile.inc
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2020-07-15 02:54:40 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2020-08-07 13:21:34 +0200
commitacd8c5c205ca9292ff5e7546cf748cb1715f1ec0 (patch)
tree4bed51525a412167e4300af35a9602188d3086c9 /passes/opt/Makefile.inc
parent9a4f420b4b8285bd05181b6988c35ce45e3c979a (diff)
downloadyosys-acd8c5c205ca9292ff5e7546cf748cb1715f1ec0.tar.gz
yosys-acd8c5c205ca9292ff5e7546cf748cb1715f1ec0.tar.bz2
yosys-acd8c5c205ca9292ff5e7546cf748cb1715f1ec0.zip
Remove now-redundant opt_rmdff pass.
Diffstat (limited to 'passes/opt/Makefile.inc')
-rw-r--r--passes/opt/Makefile.inc1
1 files changed, 0 insertions, 1 deletions
diff --git a/passes/opt/Makefile.inc b/passes/opt/Makefile.inc
index 64fee76b3..4ae9b8895 100644
--- a/passes/opt/Makefile.inc
+++ b/passes/opt/Makefile.inc
@@ -4,7 +4,6 @@ OBJS += passes/opt/opt_merge.o
OBJS += passes/opt/opt_mem.o
OBJS += passes/opt/opt_muxtree.o
OBJS += passes/opt/opt_reduce.o
-OBJS += passes/opt/opt_rmdff.o
OBJS += passes/opt/opt_dff.o
OBJS += passes/opt/opt_share.o
OBJS += passes/opt/opt_clean.o