aboutsummaryrefslogtreecommitdiffstats
path: root/passes/memory
diff options
context:
space:
mode:
authorMarcelina Koƛcielnicka <mwk@0x04.net>2021-10-06 22:16:55 +0200
committerMarcelina Koƛcielnicka <mwk@0x04.net>2021-10-07 04:24:06 +0200
commit4e70c3077562e511d6f840c91dd30ade87d66517 (patch)
treee03d632836952baf936c715927f2d6c8a9691e28 /passes/memory
parent356ec7bb3980f77d737d9fa6e24e2f0b2159e741 (diff)
downloadyosys-4e70c3077562e511d6f840c91dd30ade87d66517.tar.gz
yosys-4e70c3077562e511d6f840c91dd30ade87d66517.tar.bz2
yosys-4e70c3077562e511d6f840c91dd30ade87d66517.zip
FfData: some refactoring.
- FfData now keeps track of the module and underlying cell, if any (so calling emit on FfData created from a cell will replace the existing cell) - FfData implementation is split off to its own .cc file for faster compilation - the "flip FF data sense by inserting inverters in front and after" functionality that zinit uses is moved onto FfData class and beefed up to have dffsr support, to support more use cases
Diffstat (limited to 'passes/memory')
-rw-r--r--passes/memory/memory_dff.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/passes/memory/memory_dff.cc b/passes/memory/memory_dff.cc
index b87ecdd99..91209d428 100644
--- a/passes/memory/memory_dff.cc
+++ b/passes/memory/memory_dff.cc
@@ -581,7 +581,7 @@ struct MemoryDffWorker
// Now we're commited to merge it.
merger.mark_input_ff(bits);
// If the address FF has enable and/or sync reset, unmap it.
- ff.unmap_ce_srst(module);
+ ff.unmap_ce_srst();
port.clk = ff.sig_clk;
port.en = State::S1;
port.addr = ff.sig_d;