aboutsummaryrefslogtreecommitdiffstats
path: root/passes/fsm/fsm_detect.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-07-27 01:49:51 +0200
committerClifford Wolf <clifford@clifford.at>2014-07-27 01:49:51 +0200
commitf9946232adf887e5aa4a48c64f88eaa17e424009 (patch)
tree39594b3287c3369752668456c4a6b1735fb66e77 /passes/fsm/fsm_detect.cc
parentd7916a49aff3c47b7c1ce07abe3b6e3d5714079b (diff)
downloadyosys-f9946232adf887e5aa4a48c64f88eaa17e424009.tar.gz
yosys-f9946232adf887e5aa4a48c64f88eaa17e424009.tar.bz2
yosys-f9946232adf887e5aa4a48c64f88eaa17e424009.zip
Refactoring: Renamed RTLIL::Module::wires to wires_
Diffstat (limited to 'passes/fsm/fsm_detect.cc')
-rw-r--r--passes/fsm/fsm_detect.cc4
1 files changed, 2 insertions, 2 deletions
diff --git a/passes/fsm/fsm_detect.cc b/passes/fsm/fsm_detect.cc
index 55fe336f4..cb420f90a 100644
--- a/passes/fsm/fsm_detect.cc
+++ b/passes/fsm/fsm_detect.cc
@@ -173,11 +173,11 @@ struct FsmDetectPass : public Pass {
}
}
- for (auto &wire_it : module->wires)
+ for (auto &wire_it : module->wires_)
if (wire_it.second->port_id != 0)
sig_at_port.add(assign_map(RTLIL::SigSpec(wire_it.second)));
- for (auto &wire_it : module->wires)
+ for (auto &wire_it : module->wires_)
if (design->selected(module, wire_it.second))
detect_fsm(wire_it.second);
}