aboutsummaryrefslogtreecommitdiffstats
path: root/misc/example.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2015-02-01 13:38:46 +0100
committerClifford Wolf <clifford@clifford.at>2015-02-01 13:38:46 +0100
commit1df81f92ce171ba63cf0e4d10e6f203ca5f7f64e (patch)
tree4a8ecd5d257d5e90cb1f02984d05089bedaa9143 /misc/example.cc
parent3fe2441185057a5d563fb32157f52a7b6c4205fd (diff)
downloadyosys-1df81f92ce171ba63cf0e4d10e6f203ca5f7f64e.tar.gz
yosys-1df81f92ce171ba63cf0e4d10e6f203ca5f7f64e.tar.bz2
yosys-1df81f92ce171ba63cf0e4d10e6f203ca5f7f64e.zip
Added "make mklibyosys", some minor API changes
Diffstat (limited to 'misc/example.cc')
-rw-r--r--misc/example.cc21
1 files changed, 21 insertions, 0 deletions
diff --git a/misc/example.cc b/misc/example.cc
new file mode 100644
index 000000000..a8244ac4e
--- /dev/null
+++ b/misc/example.cc
@@ -0,0 +1,21 @@
+// clang -o example -std=c++11 -I/usr/include/tcl8.5 -I include/ example.cc objs/*.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.5
+
+#include <yosys.h>
+
+int main()
+{
+ Yosys::log_streams.push_back(&std::cout);
+ Yosys::log_error_stderr = true;
+
+ Yosys::yosys_setup();
+ Yosys::yosys_banner();
+
+ Yosys::run_pass("read_verilog example.v");
+ Yosys::run_pass("synth -noabc");
+ Yosys::run_pass("clean -purge");
+ Yosys::run_pass("write_blif example.blif");
+
+ Yosys::yosys_shutdown();
+ return 0;
+}
+