aboutsummaryrefslogtreecommitdiffstats
path: root/manual
diff options
context:
space:
mode:
authorClaire Xen <claire@clairexen.net>2021-03-04 16:45:21 +0100
committerGitHub <noreply@github.com>2021-03-04 16:45:21 +0100
commit7d2097b00538fa366cc433b23c2c307db0e3a4be (patch)
treef3c23aa233e658214d4681130646e08ff9dd330c /manual
parent6c56c083f8c55d28a3dc0dc8e17a611fd31a2261 (diff)
downloadyosys-7d2097b00538fa366cc433b23c2c307db0e3a4be.tar.gz
yosys-7d2097b00538fa366cc433b23c2c307db0e3a4be.tar.bz2
yosys-7d2097b00538fa366cc433b23c2c307db0e3a4be.zip
Update command-reference-manual.tex
Diffstat (limited to 'manual')
-rw-r--r--manual/command-reference-manual.tex8
1 files changed, 4 insertions, 4 deletions
diff --git a/manual/command-reference-manual.tex b/manual/command-reference-manual.tex
index 988f034b4..e2d54cb03 100644
--- a/manual/command-reference-manual.tex
+++ b/manual/command-reference-manual.tex
@@ -6652,11 +6652,11 @@ bindings (for Yosys and/or Verific developers):
Dump the Verific netlist as a verilog file.
-Use Symbiotic EDA Suite if you need Yosys+Verifc.
-https://www.symbioticeda.com/seda-suite
+Use YosysHQ Tabby CAD Suite if you need Yosys+Verific.
+https://www.yosyshq.com/\n");
-Contact office@symbioticeda.com for free evaluation
-binaries of Symbiotic EDA Suite.
+Contact office@yosyshq.com for free evaluation
+binaries of YosysHQ Tabby CAD Suite.
\end{lstlisting}
\section{verilog\_defaults -- set default options for read\_verilog}