aboutsummaryrefslogtreecommitdiffstats
path: root/manual/PRESENTATION_ExAdv/sym_mul_map.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2014-02-16 14:32:56 +0100
committerClifford Wolf <clifford@clifford.at>2014-02-16 14:32:56 +0100
commitaeb36b0b8b499a5b758840998afe9f1b4d7fc166 (patch)
tree79ad73d969f02df836461d23040659b596f8c1fb /manual/PRESENTATION_ExAdv/sym_mul_map.v
parent9c29969bbc1b19f251011feaa791d242ac8e5e81 (diff)
downloadyosys-aeb36b0b8b499a5b758840998afe9f1b4d7fc166.tar.gz
yosys-aeb36b0b8b499a5b758840998afe9f1b4d7fc166.tar.bz2
yosys-aeb36b0b8b499a5b758840998afe9f1b4d7fc166.zip
Progress in presentation
Diffstat (limited to 'manual/PRESENTATION_ExAdv/sym_mul_map.v')
-rw-r--r--manual/PRESENTATION_ExAdv/sym_mul_map.v15
1 files changed, 15 insertions, 0 deletions
diff --git a/manual/PRESENTATION_ExAdv/sym_mul_map.v b/manual/PRESENTATION_ExAdv/sym_mul_map.v
new file mode 100644
index 000000000..293c5b841
--- /dev/null
+++ b/manual/PRESENTATION_ExAdv/sym_mul_map.v
@@ -0,0 +1,15 @@
+module \$mul (A, B, Y);
+ parameter A_SIGNED = 0;
+ parameter B_SIGNED = 0;
+ parameter A_WIDTH = 1;
+ parameter B_WIDTH = 1;
+ parameter Y_WIDTH = 1;
+
+ input [A_WIDTH-1:0] A;
+ input [B_WIDTH-1:0] B;
+ output [Y_WIDTH-1:0] Y;
+
+ wire _TECHMAP_FAIL_ = A_WIDTH != B_WIDTH || B_WIDTH != Y_WIDTH;
+
+ MYMUL #( .WIDTH(Y_WIDTH) ) g ( .A(A), .B(B), .Y(Y) );
+endmodule