aboutsummaryrefslogtreecommitdiffstats
path: root/manual/CHAPTER_Verilog.tex
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-02-18 16:35:06 +0100
committerClifford Wolf <clifford@clifford.at>2018-02-18 16:35:06 +0100
commit5c6247dfa6a5a0b8f1dfbec2810db54deb42deb3 (patch)
treee2578bbbc10379964015da67787aaa83daf090df /manual/CHAPTER_Verilog.tex
parent9d963cd29c499530bc4bcc66f298a6e56142c509 (diff)
downloadyosys-5c6247dfa6a5a0b8f1dfbec2810db54deb42deb3.tar.gz
yosys-5c6247dfa6a5a0b8f1dfbec2810db54deb42deb3.tar.bz2
yosys-5c6247dfa6a5a0b8f1dfbec2810db54deb42deb3.zip
Add support for SVA sequence concatenation ranges via verific
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'manual/CHAPTER_Verilog.tex')
0 files changed, 0 insertions, 0 deletions