aboutsummaryrefslogtreecommitdiffstats
path: root/kernel
diff options
context:
space:
mode:
authorClaire Xenia Wolf <claire@clairexen.net>2022-10-30 18:57:50 +0100
committerClaire Xenia Wolf <claire@clairexen.net>2022-10-30 18:57:50 +0100
commitfe438ca1ab2f5c6e1bf14dce184c588808e557d2 (patch)
tree736c401544c0aae1d581a3c76b1427981d0903bb /kernel
parentc0ad6b3bc64e4210531c4629f266aa0b3beecbb0 (diff)
downloadyosys-fe438ca1ab2f5c6e1bf14dce184c588808e557d2.tar.gz
yosys-fe438ca1ab2f5c6e1bf14dce184c588808e557d2.tar.bz2
yosys-fe438ca1ab2f5c6e1bf14dce184c588808e557d2.zip
Add missing log_dump_val_worker forward declarations
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
Diffstat (limited to 'kernel')
-rw-r--r--kernel/log.h5
1 files changed, 5 insertions, 0 deletions
diff --git a/kernel/log.h b/kernel/log.h
index 8ef6e6d0e..822816cb4 100644
--- a/kernel/log.h
+++ b/kernel/log.h
@@ -393,6 +393,11 @@ void log_dump_val_worker(RTLIL::IdString v);
void log_dump_val_worker(RTLIL::SigSpec v);
void log_dump_val_worker(RTLIL::State v);
+template<typename K, typename T, typename OPS> static inline void log_dump_val_worker(dict<K, T, OPS> &v);
+template<typename K, typename OPS> static inline void log_dump_val_worker(pool<K, OPS> &v);
+template<typename K> static inline void log_dump_val_worker(std::vector<K> &v);
+template<typename T> static inline void log_dump_val_worker(T *ptr);
+
template<typename K, typename T, typename OPS>
static inline void log_dump_val_worker(dict<K, T, OPS> &v) {
log("{");