aboutsummaryrefslogtreecommitdiffstats
path: root/kernel/yosys.h
diff options
context:
space:
mode:
authorClaire Xenia Wolf <claire@clairexen.net>2021-12-09 22:24:58 +0100
committerClaire Xenia Wolf <claire@clairexen.net>2021-12-10 00:15:37 +0100
commitce08046f4439575f551449e00b502717459bee63 (patch)
tree15e24fbff4dd39b45ec5f953fcb677e030659488 /kernel/yosys.h
parent0cbdb42dcd74090296aa3fe6bf11db1c288d9962 (diff)
downloadyosys-ce08046f4439575f551449e00b502717459bee63.tar.gz
yosys-ce08046f4439575f551449e00b502717459bee63.tar.bz2
yosys-ce08046f4439575f551449e00b502717459bee63.zip
Added "yosys -r <topmodule>"
Signed-off-by: Claire Xenia Wolf <claire@clairexen.net>
Diffstat (limited to 'kernel/yosys.h')
-rw-r--r--kernel/yosys.h3
1 files changed, 1 insertions, 2 deletions
diff --git a/kernel/yosys.h b/kernel/yosys.h
index 826e7f4cd..091e2282f 100644
--- a/kernel/yosys.h
+++ b/kernel/yosys.h
@@ -347,8 +347,7 @@ std::vector<std::string> glob_filename(const std::string &filename_pattern);
void rewrite_filename(std::string &filename);
void run_pass(std::string command, RTLIL::Design *design = nullptr);
-void run_frontend(std::string filename, std::string command, std::string *backend_command, std::string *from_to_label = nullptr, RTLIL::Design *design = nullptr);
-void run_frontend(std::string filename, std::string command, RTLIL::Design *design = nullptr);
+bool run_frontend(std::string filename, std::string command, RTLIL::Design *design = nullptr, std::string *from_to_label = nullptr);
void run_backend(std::string filename, std::string command, RTLIL::Design *design = nullptr);
void shell(RTLIL::Design *design);