aboutsummaryrefslogtreecommitdiffstats
path: root/frontends
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-10-25 13:20:00 +0200
committerClifford Wolf <clifford@clifford.at>2018-10-25 13:20:00 +0200
commit5ab58d49308a670178a404e6e11bd8758f33c16d (patch)
tree4cea6b4d614bc9436e43370233f20d61563077b1 /frontends
parent6cd5b8b76ba9f9df04571defa33fc862aec87924 (diff)
downloadyosys-5ab58d49308a670178a404e6e11bd8758f33c16d.tar.gz
yosys-5ab58d49308a670178a404e6e11bd8758f33c16d.tar.bz2
yosys-5ab58d49308a670178a404e6e11bd8758f33c16d.zip
Fix minor typo in error message
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'frontends')
-rw-r--r--frontends/verilog/verilog_parser.y2
1 files changed, 1 insertions, 1 deletions
diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y
index f8eee5d22..1fe08903c 100644
--- a/frontends/verilog/verilog_parser.y
+++ b/frontends/verilog/verilog_parser.y
@@ -1478,7 +1478,7 @@ behavioral_stmt:
node->str = *$3;
} behavioral_stmt_list TOK_END opt_label {
if ($3 != NULL && $7 != NULL && *$3 != *$7)
- frontend_verilog_yyerror("Begin label (%s) and end label (%s) doesn't match.", $3->c_str()+1, $7->c_str()+1);
+ frontend_verilog_yyerror("Begin label (%s) and end label (%s) don't match.", $3->c_str()+1, $7->c_str()+1);
if ($3 != NULL)
delete $3;
if ($7 != NULL)