aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog
diff options
context:
space:
mode:
authorJeff Wang <jjj11x@gmail.com>2020-04-07 00:37:44 -0400
committerJeff Wang <jeffrey.wang@ll.mit.edu>2020-04-07 00:38:15 -0400
commit249876b61405a83ed19a9cc20fcffbf9313f2619 (patch)
tree58327f14d6d09c271218a08837533cbb10c54991 /frontends/verilog
parentebf23cd62e7617ef2510a5bdc7e66ac5f82b7991 (diff)
downloadyosys-249876b61405a83ed19a9cc20fcffbf9313f2619.tar.gz
yosys-249876b61405a83ed19a9cc20fcffbf9313f2619.tar.bz2
yosys-249876b61405a83ed19a9cc20fcffbf9313f2619.zip
support using previously declared types/localparams/params in package
(parameters in systemverilog packages can't actually be overridden, so allowing parameters in addition to localparams doesn't actually add any new functionality, but it's useful to be able to use the parameter keyword also)
Diffstat (limited to 'frontends/verilog')
-rw-r--r--frontends/verilog/verilog_parser.y3
1 files changed, 2 insertions, 1 deletions
diff --git a/frontends/verilog/verilog_parser.y b/frontends/verilog/verilog_parser.y
index 3bffa3986..f850daacb 100644
--- a/frontends/verilog/verilog_parser.y
+++ b/frontends/verilog/verilog_parser.y
@@ -521,7 +521,8 @@ package_body:
package_body_stmt:
typedef_decl |
- localparam_decl;
+ localparam_decl |
+ param_decl;
interface:
TOK_INTERFACE {