aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verilog/verilog_lexer.l
diff options
context:
space:
mode:
authorZachary Snow <zach@zachjs.com>2021-02-28 15:49:16 -0500
committerZachary Snow <zach@zachjs.com>2021-02-28 16:31:56 -0500
commit0f5b646ab8cd918821ce2924cc5dda01dbcba40f (patch)
tree89da906108efa2726fb8dc080062e0d347bc699e /frontends/verilog/verilog_lexer.l
parentd882b6fe3ca3c52b9a72a8282cda92288d198506 (diff)
downloadyosys-0f5b646ab8cd918821ce2924cc5dda01dbcba40f.tar.gz
yosys-0f5b646ab8cd918821ce2924cc5dda01dbcba40f.tar.bz2
yosys-0f5b646ab8cd918821ce2924cc5dda01dbcba40f.zip
sv: extended support for integer types
- Standard data declarations can now use any integer type - Parameters and localparams can now use any integer type - Function returns types can now use any integer type - Fix `parameter logic`, `localparam reg`, etc. to be 1 bit (previously 32 bits) - Added longint type (64 bits) - Unified parser source for integer type widths
Diffstat (limited to 'frontends/verilog/verilog_lexer.l')
-rw-r--r--frontends/verilog/verilog_lexer.l1
1 files changed, 1 insertions, 0 deletions
diff --git a/frontends/verilog/verilog_lexer.l b/frontends/verilog/verilog_lexer.l
index eeb7440f8..66772a097 100644
--- a/frontends/verilog/verilog_lexer.l
+++ b/frontends/verilog/verilog_lexer.l
@@ -267,6 +267,7 @@ static bool isUserType(std::string &s)
"int" { SV_KEYWORD(TOK_INT); }
"byte" { SV_KEYWORD(TOK_BYTE); }
"shortint" { SV_KEYWORD(TOK_SHORTINT); }
+"longint" { SV_KEYWORD(TOK_LONGINT); }
"eventually" { if (formal_mode) return TOK_EVENTUALLY; SV_KEYWORD(TOK_EVENTUALLY); }
"s_eventually" { if (formal_mode) return TOK_EVENTUALLY; SV_KEYWORD(TOK_EVENTUALLY); }