aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/verific/README
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-01-04 15:03:29 +0100
committerClifford Wolf <clifford@clifford.at>2019-01-04 15:03:49 +0100
commit6d1e7e9403c81289492af889922df9aa3e3842b0 (patch)
tree535acc886659cc0aa3242622e6034ca8d42ac4b3 /frontends/verific/README
parent7a2db03aa7fc4d290f6c770c86b63a3510598c91 (diff)
downloadyosys-6d1e7e9403c81289492af889922df9aa3e3842b0.tar.gz
yosys-6d1e7e9403c81289492af889922df9aa3e3842b0.tar.bz2
yosys-6d1e7e9403c81289492af889922df9aa3e3842b0.zip
Remove -m32 Verific eval lib build instructions
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'frontends/verific/README')
-rw-r--r--frontends/verific/README29
1 files changed, 0 insertions, 29 deletions
diff --git a/frontends/verific/README b/frontends/verific/README
index b4c436a3a..c76cdd637 100644
--- a/frontends/verific/README
+++ b/frontends/verific/README
@@ -4,35 +4,6 @@ This directory contains Verific bindings for Yosys.
See http://www.verific.com/ for details.
-Building Yosys with the 32 bit Verific eval library on amd64:
-=============================================================
-
-1.) Use a Makefile.conf like the following one:
-
---snip--
-CONFIG := gcc
-ENABLE_TCL := 0
-ENABLE_PLUGINS := 0
-ENABLE_VERIFIC := 1
-CXXFLAGS += -m32
-LDFLAGS += -m32
-VERIFIC_DIR = /usr/local/src/verific_lib_eval
---snap--
-
-
-2.) Install the necessary multilib packages
-
-Hint: On debian/ubuntu the multilib packages have names such as
-libreadline-dev:i386 or lib32readline6-dev, depending on the
-exact version of debian/ubuntu you are working with.
-
-
-3.) Build and test
-
-make -j8
-./yosys -p 'verific -sv frontends/verific/example.sv; verific -import top'
-
-
Verific Features that should be enabled in your Verific library
===============================================================