aboutsummaryrefslogtreecommitdiffstats
path: root/frontends/ast/ast.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-06-07 12:08:42 +0200
committerClifford Wolf <clifford@clifford.at>2019-06-07 12:08:42 +0200
commita3bbc5365bc8ed411ab437a6baea5596531933a8 (patch)
treeb4baf6d5eb444c6e462d874aa21601e5654e3c3c /frontends/ast/ast.cc
parent169de05f3be779dd936ed5e0efea6a6055d5b187 (diff)
parentac10e7d96da4965751fd60a8dd42a8998c011c39 (diff)
downloadyosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.tar.gz
yosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.tar.bz2
yosys-a3bbc5365bc8ed411ab437a6baea5596531933a8.zip
Merge branch 'pr_elab_sys_tasks' of https://github.com/udif/yosys into clifford/pr983
Diffstat (limited to 'frontends/ast/ast.cc')
-rw-r--r--frontends/ast/ast.cc1
1 files changed, 1 insertions, 0 deletions
diff --git a/frontends/ast/ast.cc b/frontends/ast/ast.cc
index 83993eea9..b5b968e9e 100644
--- a/frontends/ast/ast.cc
+++ b/frontends/ast/ast.cc
@@ -154,6 +154,7 @@ std::string AST::type2str(AstNodeType type)
X(AST_GENIF)
X(AST_GENCASE)
X(AST_GENBLOCK)
+ X(AST_TECALL)
X(AST_POSEDGE)
X(AST_NEGEDGE)
X(AST_EDGE)