aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-07-27 16:11:37 +0200
committerClifford Wolf <clifford@clifford.at>2016-07-27 16:11:37 +0200
commitda56a5bbc60e58c305227105b68654264738c241 (patch)
treebfae24cda2952ca3ed99f92d06dab0cf0849152a /examples
parent8d88fcb27011a6f8f47a8615c30ab658fafab0f2 (diff)
downloadyosys-da56a5bbc60e58c305227105b68654264738c241.tar.gz
yosys-da56a5bbc60e58c305227105b68654264738c241.tar.bz2
yosys-da56a5bbc60e58c305227105b68654264738c241.zip
Added $initstate support to smtbmc flow
Diffstat (limited to 'examples')
-rw-r--r--examples/smtbmc/demo1.v3
1 files changed, 2 insertions, 1 deletions
diff --git a/examples/smtbmc/demo1.v b/examples/smtbmc/demo1.v
index 2e628b7da..b1e505bdd 100644
--- a/examples/smtbmc/demo1.v
+++ b/examples/smtbmc/demo1.v
@@ -1,5 +1,5 @@
module demo1(input clk, input addtwo, output iseven);
- reg [3:0] cnt = 0;
+ reg [3:0] cnt;
wire [3:0] next_cnt;
inc inc_inst (addtwo, iseven, cnt, next_cnt);
@@ -8,6 +8,7 @@ module demo1(input clk, input addtwo, output iseven);
cnt = (iseven ? cnt == 10 : cnt == 11) ? 0 : next_cnt;
assert property (cnt != 15);
+ initial assume (!cnt[3] && !cnt[0]);
// initial predict ((iseven && addtwo) || cnt == 9);
endmodule