aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authordh73 <dh73_fpga@qq.com>2017-11-14 22:55:48 -0600
committerdh73 <dh73_fpga@qq.com>2017-11-14 22:55:48 -0600
commitacee813a5c0d5517ea4123945e4971ddd2e5f3a4 (patch)
treee35d68f109fd50921f7fbcd963fb609072b46629 /examples
parent3fd1d61e2aa65bfc88de691071a3295888ed2aa3 (diff)
downloadyosys-acee813a5c0d5517ea4123945e4971ddd2e5f3a4.tar.gz
yosys-acee813a5c0d5517ea4123945e4971ddd2e5f3a4.tar.bz2
yosys-acee813a5c0d5517ea4123945e4971ddd2e5f3a4.zip
Fixed the -vout flag to -vqm in examples/intel directory
Diffstat (limited to 'examples')
-rw-r--r--examples/intel/DE2i-150/run_cycloneiv2
-rw-r--r--examples/intel/MAX10/run_max102
-rwxr-xr-xexamples/intel/asicworld_lfsr/run_cycloneiv2
-rwxr-xr-xexamples/intel/asicworld_lfsr/run_max102
4 files changed, 4 insertions, 4 deletions
diff --git a/examples/intel/DE2i-150/run_cycloneiv b/examples/intel/DE2i-150/run_cycloneiv
index 321ed2778..518807b57 100644
--- a/examples/intel/DE2i-150/run_cycloneiv
+++ b/examples/intel/DE2i-150/run_cycloneiv
@@ -1,2 +1,2 @@
#/bin/env bash
-yosys -p "synth_intel -family cycloneiv -top top -vout top.vqm" top.v sevenseg.v
+yosys -p "synth_intel -family cycloneiv -top top -vqm top.vqm" top.v sevenseg.v
diff --git a/examples/intel/MAX10/run_max10 b/examples/intel/MAX10/run_max10
index ef7649afb..0378e4fa7 100644
--- a/examples/intel/MAX10/run_max10
+++ b/examples/intel/MAX10/run_max10
@@ -1 +1 @@
-yosys -p "synth_intel -family max10 -top top -vout top.vqm" top.v sevenseg.v
+yosys -p "synth_intel -family max10 -top top -vqm top.vqm" top.v sevenseg.v
diff --git a/examples/intel/asicworld_lfsr/run_cycloneiv b/examples/intel/asicworld_lfsr/run_cycloneiv
index cb7f5c9b1..c7498bded 100755
--- a/examples/intel/asicworld_lfsr/run_cycloneiv
+++ b/examples/intel/asicworld_lfsr/run_cycloneiv
@@ -1,2 +1,2 @@
#!/bin/env bash
-yosys -p "synth_intel -family cycloneiv -top lfsr_updown -vout top.vqm" lfsr_updown.v
+yosys -p "synth_intel -family cycloneiv -top lfsr_updown -vqm top.vqm" lfsr_updown.v
diff --git a/examples/intel/asicworld_lfsr/run_max10 b/examples/intel/asicworld_lfsr/run_max10
index 6bb812c16..b75d552bb 100755
--- a/examples/intel/asicworld_lfsr/run_max10
+++ b/examples/intel/asicworld_lfsr/run_max10
@@ -1,2 +1,2 @@
#!/bin/env bash
-yosys -p "synth_intel -family max10 -top lfsr_updown -vout top.vqm" lfsr_updown.v
+yosys -p "synth_intel -family max10 -top lfsr_updown -vqm top.vqm" lfsr_updown.v