aboutsummaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-10-10 13:32:04 +0200
committerClifford Wolf <clifford@clifford.at>2017-10-10 13:32:04 +0200
commit142f4ca03a65bd590e6d17be8fbcf3e6a793febe (patch)
tree226af70b03ffc8162e2af3ff7cb4e5ff7f64b6bf /examples
parentbc80426d4579d4973feed80e804c59cc46a5368c (diff)
downloadyosys-142f4ca03a65bd590e6d17be8fbcf3e6a793febe.tar.gz
yosys-142f4ca03a65bd590e6d17be8fbcf3e6a793febe.tar.bz2
yosys-142f4ca03a65bd590e6d17be8fbcf3e6a793febe.zip
Add timing constraints to osu035 example
Diffstat (limited to 'examples')
-rw-r--r--examples/osu035/Makefile2
-rw-r--r--examples/osu035/example.constr2
-rw-r--r--examples/osu035/example.ys2
3 files changed, 4 insertions, 2 deletions
diff --git a/examples/osu035/Makefile b/examples/osu035/Makefile
index 8d8e247e3..2bb8162b3 100644
--- a/examples/osu035/Makefile
+++ b/examples/osu035/Makefile
@@ -1,5 +1,5 @@
-example.edif: example.ys example.v osu035_stdcells.lib
+example.edif: example.ys example.v example.constr osu035_stdcells.lib
yosys -l example.yslog -q example.ys
osu035_stdcells.lib:
diff --git a/examples/osu035/example.constr b/examples/osu035/example.constr
new file mode 100644
index 000000000..eb2c6e8d5
--- /dev/null
+++ b/examples/osu035/example.constr
@@ -0,0 +1,2 @@
+set_driving_cell INVX1
+set_load 0.015
diff --git a/examples/osu035/example.ys b/examples/osu035/example.ys
index 040f776a6..6821ef426 100644
--- a/examples/osu035/example.ys
+++ b/examples/osu035/example.ys
@@ -4,7 +4,7 @@ read_liberty -lib osu035_stdcells.lib
synth -top top
dfflibmap -liberty osu035_stdcells.lib
-abc -liberty osu035_stdcells.lib
+abc -D 10000 -constr example.constr -liberty osu035_stdcells.lib
opt_clean
stat -liberty osu035_stdcells.lib