aboutsummaryrefslogtreecommitdiffstats
path: root/examples/smtbmc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-30 12:40:09 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-30 12:40:09 +0200
commitb04a40d9fe6725dbe1b97a63931b0c0710e3149d (patch)
tree5c9377100c110df60d9a4fe26dce2544ccfcc28f /examples/smtbmc/Makefile
parent39e4faa2e4c51c9588df233c795b4e85523879cf (diff)
downloadyosys-b04a40d9fe6725dbe1b97a63931b0c0710e3149d.tar.gz
yosys-b04a40d9fe6725dbe1b97a63931b0c0710e3149d.tar.bz2
yosys-b04a40d9fe6725dbe1b97a63931b0c0710e3149d.zip
Made "write_smt2 -bv -mem" default, added "write_smt2 -nobv -nomem"
Diffstat (limited to 'examples/smtbmc/Makefile')
-rw-r--r--examples/smtbmc/Makefile8
1 files changed, 4 insertions, 4 deletions
diff --git a/examples/smtbmc/Makefile b/examples/smtbmc/Makefile
index 588e8429b..6078fc64f 100644
--- a/examples/smtbmc/Makefile
+++ b/examples/smtbmc/Makefile
@@ -17,16 +17,16 @@ demo4: demo4.smt2
yosys-smtbmc -s yices --dump-vcd demo4.vcd --smtc demo4.smtc demo4.smt2
demo1.smt2: demo1.v
- yosys -ql demo1.yslog -p 'read_verilog -formal demo1.v; prep -top demo1 -nordff; write_smt2 -wires -mem -bv demo1.smt2'
+ yosys -ql demo1.yslog -p 'read_verilog -formal demo1.v; prep -top demo1 -nordff; write_smt2 -wires demo1.smt2'
demo2.smt2: demo2.v
- yosys -ql demo2.yslog -p 'read_verilog -formal demo2.v; prep -top demo2 -nordff; write_smt2 -wires -mem -bv demo2.smt2'
+ yosys -ql demo2.yslog -p 'read_verilog -formal demo2.v; prep -top demo2 -nordff; write_smt2 -wires demo2.smt2'
demo3.smt2: demo3.v
- yosys -ql demo3.yslog -p 'read_verilog -formal demo3.v; prep -top demo3 -nordff; write_smt2 -wires -mem -bv demo3.smt2'
+ yosys -ql demo3.yslog -p 'read_verilog -formal demo3.v; prep -top demo3 -nordff; write_smt2 -wires demo3.smt2'
demo4.smt2: demo4.v
- yosys -ql demo4.yslog -p 'read_verilog -formal demo4.v; prep -top demo4 -nordff; write_smt2 -wires -mem -bv demo4.smt2'
+ yosys -ql demo4.yslog -p 'read_verilog -formal demo4.v; prep -top demo4 -nordff; write_smt2 -wires demo4.smt2'
clean:
rm -f demo1.yslog demo1.smt2 demo1.vcd