aboutsummaryrefslogtreecommitdiffstats
path: root/examples/smtbmc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-27 14:30:36 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-27 14:30:36 +0200
commitadcda6817e0df097bf70f8c200edcf15341f3188 (patch)
tree0456ec0ca7e06245747bcf8cd434f7c3f065d6b6 /examples/smtbmc/Makefile
parent7500b403de9eeafcc3de2a8eba051a03d5f5f10e (diff)
downloadyosys-adcda6817e0df097bf70f8c200edcf15341f3188.tar.gz
yosys-adcda6817e0df097bf70f8c200edcf15341f3188.tar.bz2
yosys-adcda6817e0df097bf70f8c200edcf15341f3188.zip
Added smtc "final" statement
Diffstat (limited to 'examples/smtbmc/Makefile')
-rw-r--r--examples/smtbmc/Makefile11
1 files changed, 9 insertions, 2 deletions
diff --git a/examples/smtbmc/Makefile b/examples/smtbmc/Makefile
index b3feb07c7..588e8429b 100644
--- a/examples/smtbmc/Makefile
+++ b/examples/smtbmc/Makefile
@@ -1,5 +1,5 @@
-all: demo1 demo2 demo3
+all: demo1 demo2 demo3 demo4
demo1: demo1.smt2
yosys-smtbmc --dump-vcd demo1.vcd demo1.smt2
@@ -13,6 +13,9 @@ demo2: demo2.smt2
demo3: demo3.smt2
yosys-smtbmc --dump-vcd demo3.vcd --smtc demo3.smtc demo3.smt2
+demo4: demo4.smt2
+ yosys-smtbmc -s yices --dump-vcd demo4.vcd --smtc demo4.smtc demo4.smt2
+
demo1.smt2: demo1.v
yosys -ql demo1.yslog -p 'read_verilog -formal demo1.v; prep -top demo1 -nordff; write_smt2 -wires -mem -bv demo1.smt2'
@@ -22,10 +25,14 @@ demo2.smt2: demo2.v
demo3.smt2: demo3.v
yosys -ql demo3.yslog -p 'read_verilog -formal demo3.v; prep -top demo3 -nordff; write_smt2 -wires -mem -bv demo3.smt2'
+demo4.smt2: demo4.v
+ yosys -ql demo4.yslog -p 'read_verilog -formal demo4.v; prep -top demo4 -nordff; write_smt2 -wires -mem -bv demo4.smt2'
+
clean:
rm -f demo1.yslog demo1.smt2 demo1.vcd
rm -f demo2.yslog demo2.smt2 demo2.vcd demo2.smtc demo2_tb.v demo2_tb demo2_tb.vcd
rm -f demo3.yslog demo3.smt2 demo3.vcd
+ rm -f demo4.yslog demo4.smt2 demo4.vcd
-.PHONY: demo1 demo2 demo3 clean
+.PHONY: demo1 demo2 demo3 demo4 clean