aboutsummaryrefslogtreecommitdiffstats
path: root/examples/smtbmc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-20 16:07:59 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-20 16:07:59 +0200
commita889acb897b742f8d17ebccb0fb0d0a8e622fb70 (patch)
treef0734a47d9d468bcc61527a1a99de532485f8526 /examples/smtbmc/Makefile
parentfe9315b7a19bcb6dcde1a1ce49dd23f999bda7eb (diff)
downloadyosys-a889acb897b742f8d17ebccb0fb0d0a8e622fb70.tar.gz
yosys-a889acb897b742f8d17ebccb0fb0d0a8e622fb70.tar.bz2
yosys-a889acb897b742f8d17ebccb0fb0d0a8e622fb70.zip
Added smtbmc longopt support
Diffstat (limited to 'examples/smtbmc/Makefile')
-rw-r--r--examples/smtbmc/Makefile4
1 files changed, 2 insertions, 2 deletions
diff --git a/examples/smtbmc/Makefile b/examples/smtbmc/Makefile
index 48c81a463..4a154c2f9 100644
--- a/examples/smtbmc/Makefile
+++ b/examples/smtbmc/Makefile
@@ -1,7 +1,7 @@
demo1: demo1.smt2
- yosys-smtbmc -c demo1.vcd demo1.smt2
- yosys-smtbmc -i -c demo1.vcd demo1.smt2
+ yosys-smtbmc --dump-vcd demo1.vcd demo1.smt2
+ yosys-smtbmc -i --dump-vcd demo1.vcd demo1.smt2
demo1.smt2: demo1.v
yosys -p 'read_verilog -formal demo1.v; prep -top demo1; write_smt2 -wires -mem -bv demo1.smt2'