aboutsummaryrefslogtreecommitdiffstats
path: root/examples/smtbmc/Makefile
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-08-22 16:48:46 +0200
committerClifford Wolf <clifford@clifford.at>2016-08-22 16:48:46 +0200
commit2bd30e20261240057752f124506c8b38af95afc4 (patch)
tree8bf9d8c855926554e3b09ca15d14081584085abf /examples/smtbmc/Makefile
parentf8a77abfac6da12e2e11c43b4e6aa6e613ac0d4b (diff)
downloadyosys-2bd30e20261240057752f124506c8b38af95afc4.tar.gz
yosys-2bd30e20261240057752f124506c8b38af95afc4.tar.bz2
yosys-2bd30e20261240057752f124506c8b38af95afc4.zip
Added "yosys-smtbmc --dump-constr"
Diffstat (limited to 'examples/smtbmc/Makefile')
-rw-r--r--examples/smtbmc/Makefile2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/smtbmc/Makefile b/examples/smtbmc/Makefile
index 649c3f69b..711be712b 100644
--- a/examples/smtbmc/Makefile
+++ b/examples/smtbmc/Makefile
@@ -6,7 +6,7 @@ demo1: demo1.smt2
yosys-smtbmc -i --dump-vcd demo1.vcd demo1.smt2
demo2: demo2.smt2
- yosys-smtbmc -g --dump-vcd demo2.vcd --dump-vlogtb demo2_tb.v demo2.smt2
+ yosys-smtbmc -g --dump-vcd demo2.vcd --dump-vlogtb demo2_tb.v --dump-constr demo2.smtc demo2.smt2
iverilog -g2012 -o demo2_tb demo2_tb.v demo2.v
vvp demo2_tb +vcd=demo2_tb.vcd