diff options
author | Clifford Wolf <clifford@clifford.at> | 2017-05-23 18:38:20 +0200 |
---|---|---|
committer | Clifford Wolf <clifford@clifford.at> | 2017-05-23 18:38:20 +0200 |
commit | dca3b3cd5f812273521928c1a1ac924d798d2920 (patch) | |
tree | ef129348675ed3e947e42ac9320e0082028528a0 /examples/osu035 | |
parent | 664ba4d80e89a97d7a6aed8bdd5b9c7570f793d2 (diff) | |
download | yosys-dca3b3cd5f812273521928c1a1ac924d798d2920.tar.gz yosys-dca3b3cd5f812273521928c1a1ac924d798d2920.tar.bz2 yosys-dca3b3cd5f812273521928c1a1ac924d798d2920.zip |
Add examples/osu035
Diffstat (limited to 'examples/osu035')
-rw-r--r-- | examples/osu035/.gitignore | 3 | ||||
-rw-r--r-- | examples/osu035/Makefile | 13 | ||||
-rw-r--r-- | examples/osu035/example.v | 3 | ||||
-rw-r--r-- | examples/osu035/example.ys | 11 |
4 files changed, 30 insertions, 0 deletions
diff --git a/examples/osu035/.gitignore b/examples/osu035/.gitignore new file mode 100644 index 000000000..3abf340bb --- /dev/null +++ b/examples/osu035/.gitignore @@ -0,0 +1,3 @@ +osu035_stdcells.lib +example.yslog +example.edif diff --git a/examples/osu035/Makefile b/examples/osu035/Makefile new file mode 100644 index 000000000..8d8e247e3 --- /dev/null +++ b/examples/osu035/Makefile @@ -0,0 +1,13 @@ + +example.edif: example.ys example.v osu035_stdcells.lib + yosys -l example.yslog -q example.ys + +osu035_stdcells.lib: + rm -f osu035_stdcells.lib.part osu035_stdcells.lib + wget -O osu035_stdcells.lib.part https://vlsiarch.ecen.okstate.edu/flows/MOSIS_SCMOS/latest/cadence/lib/ami035/signalstorm/osu035_stdcells.lib + mv osu035_stdcells.lib.part osu035_stdcells.lib + +clean: + rm -f osu035_stdcells.lib + rm -f example.yslog example.edif + diff --git a/examples/osu035/example.v b/examples/osu035/example.v new file mode 100644 index 000000000..0f043e5fc --- /dev/null +++ b/examples/osu035/example.v @@ -0,0 +1,3 @@ +module top (input clk, input [7:0] a, b, output reg [15:0] c); + always @(posedge clk) c <= a * b; +endmodule diff --git a/examples/osu035/example.ys b/examples/osu035/example.ys new file mode 100644 index 000000000..040f776a6 --- /dev/null +++ b/examples/osu035/example.ys @@ -0,0 +1,11 @@ +read_verilog example.v +read_liberty -lib osu035_stdcells.lib + +synth -top top + +dfflibmap -liberty osu035_stdcells.lib +abc -liberty osu035_stdcells.lib +opt_clean + +stat -liberty osu035_stdcells.lib +write_edif example.edif |