aboutsummaryrefslogtreecommitdiffstats
path: root/examples/gowin/demo.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-11-07 12:55:56 +0100
committerClifford Wolf <clifford@clifford.at>2016-11-07 12:55:56 +0100
commit84badc97b374bb5458930217569310b45b188f44 (patch)
tree89c0174a76ee2b53cf805048652fd11da2c6fc59 /examples/gowin/demo.v
parentef603c6fe13f521d774bdc2f0222eb1ee53071f9 (diff)
downloadyosys-84badc97b374bb5458930217569310b45b188f44.tar.gz
yosys-84badc97b374bb5458930217569310b45b188f44.tar.bz2
yosys-84badc97b374bb5458930217569310b45b188f44.zip
Added examples/gowin/
Diffstat (limited to 'examples/gowin/demo.v')
-rw-r--r--examples/gowin/demo.v11
1 files changed, 11 insertions, 0 deletions
diff --git a/examples/gowin/demo.v b/examples/gowin/demo.v
new file mode 100644
index 000000000..e1a2f19ec
--- /dev/null
+++ b/examples/gowin/demo.v
@@ -0,0 +1,11 @@
+module demo (
+ input clk,
+ output led1, led2, led3, led4, led5, led6, led7, led8,
+ output led9, led10, led11, led12, led13, led14, led15, led16
+);
+ localparam PRESCALE = 20;
+ reg [PRESCALE+3:0] counter = 0;
+ always @(posedge clk) counter <= counter + 1;
+ assign {led1, led2, led3, led4, led5, led6, led7, led8,
+ led9, led10, led11, led12, led13, led14, led15, led16} = 1 << counter[PRESCALE +: 4];
+endmodule