aboutsummaryrefslogtreecommitdiffstats
path: root/examples/gowin/demo.cst
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2016-11-07 12:55:56 +0100
committerClifford Wolf <clifford@clifford.at>2016-11-07 12:55:56 +0100
commit84badc97b374bb5458930217569310b45b188f44 (patch)
tree89c0174a76ee2b53cf805048652fd11da2c6fc59 /examples/gowin/demo.cst
parentef603c6fe13f521d774bdc2f0222eb1ee53071f9 (diff)
downloadyosys-84badc97b374bb5458930217569310b45b188f44.tar.gz
yosys-84badc97b374bb5458930217569310b45b188f44.tar.bz2
yosys-84badc97b374bb5458930217569310b45b188f44.zip
Added examples/gowin/
Diffstat (limited to 'examples/gowin/demo.cst')
-rw-r--r--examples/gowin/demo.cst17
1 files changed, 17 insertions, 0 deletions
diff --git a/examples/gowin/demo.cst b/examples/gowin/demo.cst
new file mode 100644
index 000000000..0b14b5bd6
--- /dev/null
+++ b/examples/gowin/demo.cst
@@ -0,0 +1,17 @@
+IO_LOC "clk" D11;
+IO_LOC "led1" D22;
+IO_LOC "led2" E22;
+IO_LOC "led3" G22;
+IO_LOC "led4" J22;
+IO_LOC "led5" L22;
+IO_LOC "led6" L19;
+IO_LOC "led7" L20;
+IO_LOC "led8" M21;
+IO_LOC "led9" N19;
+IO_LOC "led10" R19;
+IO_LOC "led11" T18;
+IO_LOC "led12" AA22;
+IO_LOC "led13" U18;
+IO_LOC "led14" V20;
+IO_LOC "led15" AA21;
+IO_LOC "led16" AB21;