aboutsummaryrefslogtreecommitdiffstats
path: root/examples/anlogic/demo.v
diff options
context:
space:
mode:
authorKali Prasad <kprasadvnsi@pm.me>2019-03-06 09:51:11 +0530
committerKali Prasad <kprasadvnsi@pm.me>2019-03-06 09:51:11 +0530
commit7c03b0b08209c7e1b3972a05db63b23c0b1d7a5e (patch)
treee8ec710fe4cbfdf1f6951f341de913ddd47283c6 /examples/anlogic/demo.v
parentd03780c3f463bb8ac2c5d300ba7a591f1bc90a8f (diff)
downloadyosys-7c03b0b08209c7e1b3972a05db63b23c0b1d7a5e.tar.gz
yosys-7c03b0b08209c7e1b3972a05db63b23c0b1d7a5e.tar.bz2
yosys-7c03b0b08209c7e1b3972a05db63b23c0b1d7a5e.zip
examples/anlogic/ now also output the SVF file.
Diffstat (limited to 'examples/anlogic/demo.v')
-rw-r--r--examples/anlogic/demo.v10
1 files changed, 5 insertions, 5 deletions
diff --git a/examples/anlogic/demo.v b/examples/anlogic/demo.v
index a7edf4e37..e17db771e 100644
--- a/examples/anlogic/demo.v
+++ b/examples/anlogic/demo.v
@@ -1,18 +1,18 @@
module demo (
- input wire CLK_IN,
- output wire R_LED
+ input wire CLK_IN,
+ output wire R_LED
);
parameter time1 = 30'd12_000_000;
reg led_state;
reg [29:0] count;
-
+
always @(posedge CLK_IN)begin
if(count == time1)begin
- count<= 30'd0;
+ count<= 30'd0;
led_state <= ~led_state;
end
else
count <= count + 1'b1;
end
assign R_LED = led_state;
-endmodule \ No newline at end of file
+endmodule