aboutsummaryrefslogtreecommitdiffstats
path: root/backends/verilog/verilog_backend.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2019-04-18 17:42:12 +0200
committerClifford Wolf <clifford@clifford.at>2019-04-18 17:45:47 +0200
commitf4abc21d8ad79621cc24852bd76abf40a9d9f702 (patch)
tree016692552e9880b3e37a715b53f45db707c83a91 /backends/verilog/verilog_backend.cc
parentea8ac0aaad3a1f89ead8eb44b2fef5927f29a099 (diff)
downloadyosys-f4abc21d8ad79621cc24852bd76abf40a9d9f702.tar.gz
yosys-f4abc21d8ad79621cc24852bd76abf40a9d9f702.tar.bz2
yosys-f4abc21d8ad79621cc24852bd76abf40a9d9f702.zip
Add "whitebox" attribute, add "read_verilog -wb"
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'backends/verilog/verilog_backend.cc')
-rw-r--r--backends/verilog/verilog_backend.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/backends/verilog/verilog_backend.cc b/backends/verilog/verilog_backend.cc
index 83d83f488..855409d0b 100644
--- a/backends/verilog/verilog_backend.cc
+++ b/backends/verilog/verilog_backend.cc
@@ -1770,7 +1770,7 @@ struct VerilogBackend : public Backend {
*f << stringf("/* Generated by %s */\n", yosys_version_str);
for (auto it = design->modules_.begin(); it != design->modules_.end(); ++it) {
- if (it->second->get_bool_attribute("\\blackbox") != blackboxes)
+ if (it->second->get_blackbox_attribute() != blackboxes)
continue;
if (selected && !design->selected_whole_module(it->first)) {
if (design->selected_module(it->first))