aboutsummaryrefslogtreecommitdiffstats
path: root/README.md
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2017-02-11 10:12:17 +0100
committerClifford Wolf <clifford@clifford.at>2017-02-11 10:12:17 +0100
commita88e019b0cd243f149528ce0b752bb6755c393c9 (patch)
tree694635419a5e7c3e0edcb4ce731e0e48c18daf0e /README.md
parenta5bfeb9e07e7d160c55c6223c203d6ec124911f0 (diff)
parent422ffd5c0699613f98cff3c45267b0af7d944a80 (diff)
downloadyosys-a88e019b0cd243f149528ce0b752bb6755c393c9.tar.gz
yosys-a88e019b0cd243f149528ce0b752bb6755c393c9.tar.bz2
yosys-a88e019b0cd243f149528ce0b752bb6755c393c9.zip
Merge branch 'master' of https://github.com/stv0g/yosys into stv0g-master
Diffstat (limited to 'README.md')
-rw-r--r--README.md16
1 files changed, 13 insertions, 3 deletions
diff --git a/README.md b/README.md
index 3d3ff422e..7c4dbf0c5 100644
--- a/README.md
+++ b/README.md
@@ -40,14 +40,14 @@ Web Site
More information and documentation can be found on the Yosys web site:
http://www.clifford.at/yosys/
-
-Getting Started
-===============
+Setup
+======
You need a C++ compiler with C++11 support (up-to-date CLANG or GCC is
recommended) and some standard tools such as GNU Flex, GNU Bison, and GNU Make.
TCL, readline and libffi are optional (see ``ENABLE_*`` settings in Makefile).
Xdot (graphviz) is used by the ``show`` command in yosys to display schematics.
+
For example on Ubuntu Linux 16.04 LTS the following commands will install all
prerequisites for building yosys:
@@ -55,6 +55,13 @@ prerequisites for building yosys:
libreadline-dev gawk tcl-dev libffi-dev git mercurial \
graphviz xdot pkg-config python3
+Similarily, on Mac OS X MacPorts or Homebrew can be used to install dependencies:
+
+ $ brew install bison flex gawk libffi \
+ git mercurial graphviz pkg-config python3
+ $ sudo port install bison flex readline gawk libffi \
+ git mercurial graphviz pkgconfig python36
+
There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well
as a source distribution for Visual Studio. Visit the Yosys download page for
more information: http://www.clifford.at/yosys/download.html
@@ -80,6 +87,9 @@ To build Yosys simply type 'make' in this directory.
Note that this also downloads, builds and installs ABC (using yosys-abc
as executable name).
+Getting Started
+===============
+
Yosys can be used with the interactive command shell, with
synthesis scripts or with command line arguments. Let's perform
a simple synthesis job using the interactive command shell: