aboutsummaryrefslogtreecommitdiffstats
path: root/CHANGELOG
diff options
context:
space:
mode:
authorJannis Harder <me@jix.one>2022-07-21 14:22:15 +0200
committerJannis Harder <me@jix.one>2022-08-16 13:37:30 +0200
commitc0063288d699f4f3edf5e0ff6ee1bd5cfa9ac884 (patch)
tree56dede2b6f394bdd4cf662ae8f8a9c1f67e8f54f /CHANGELOG
parentc26b2bf543a226e65a3fb07040bb278d668accf2 (diff)
downloadyosys-c0063288d699f4f3edf5e0ff6ee1bd5cfa9ac884.tar.gz
yosys-c0063288d699f4f3edf5e0ff6ee1bd5cfa9ac884.tar.bz2
yosys-c0063288d699f4f3edf5e0ff6ee1bd5cfa9ac884.zip
Add the $anyinit cell and the formalff pass
These can be used to protect undefined flip-flop initialization values from optimizations that are not sound for formal verification and can help mapping all solver-provided values in witness traces for flows that use different backends simultaneously.
Diffstat (limited to 'CHANGELOG')
-rw-r--r--CHANGELOG6
1 files changed, 6 insertions, 0 deletions
diff --git a/CHANGELOG b/CHANGELOG
index 434872248..199d6a61a 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -4,6 +4,12 @@ List of major changes and improvements between releases
Yosys 0.20 .. Yosys 0.20-dev
--------------------------
+ * New commands and options
+ - Added "formalff" pass - transforms FFs for formal verification
+
+ * Formal Verification
+ - Added $anyinit cell to directly represent FFs with an unconstrained
+ initialization value. These can be generated by the new formalff pass.
Yosys 0.19 .. Yosys 0.20
--------------------------