aboutsummaryrefslogtreecommitdiffstats
path: root/CHANGELOG
diff options
context:
space:
mode:
authorMarcin Koƛcielnicki <marcin@symbioticeda.com>2019-09-23 12:41:42 +0200
committerMarcin Koƛcielnicki <koriakin@0x04.net>2019-09-30 12:52:43 +0200
commit4535f2c6943107d88e9196c9705fc5d92f604f14 (patch)
tree5139870d0a9c156a1eff6f9d370c669aa170a5b9 /CHANGELOG
parentd5f0794a531b36976d2c4d181b1c3921b801bbfa (diff)
downloadyosys-4535f2c6943107d88e9196c9705fc5d92f604f14.tar.gz
yosys-4535f2c6943107d88e9196c9705fc5d92f604f14.tar.bz2
yosys-4535f2c6943107d88e9196c9705fc5d92f604f14.zip
synth_xilinx: Support latches, remove used-up FF init values.
Fixes #1387.
Diffstat (limited to 'CHANGELOG')
-rw-r--r--CHANGELOG1
1 files changed, 1 insertions, 0 deletions
diff --git a/CHANGELOG b/CHANGELOG
index 481f33a6c..c1ffaa44a 100644
--- a/CHANGELOG
+++ b/CHANGELOG
@@ -49,6 +49,7 @@ Yosys 0.9 .. Yosys 0.9-dev
- "synth_xilinx" to now infer DSP blocks (-nodsp to disable)
- "synth_ecp5" to now infer DSP blocks (-nodsp to disable, experimental)
- "synth_ice40 -dsp" to infer DSP blocks
+ - Added latch support to synth_xilinx
Yosys 0.8 .. Yosys 0.9
----------------------