aboutsummaryrefslogtreecommitdiffstats
path: root/.clang-format
diff options
context:
space:
mode:
authorEddie Hung <eddie@fpgeh.com>2019-12-30 12:09:53 -0800
committerEddie Hung <eddie@fpgeh.com>2019-12-30 13:28:29 -0800
commitaa6d06c1b5b0083096ad547b0ad2600fcdc854f4 (patch)
tree29cbf3ff8f04f891e6c948e37bf2061f3865f335 /.clang-format
parent566d9fb77f8688022ae7247fa9466a4327b2adb7 (diff)
downloadyosys-aa6d06c1b5b0083096ad547b0ad2600fcdc854f4.tar.gz
yosys-aa6d06c1b5b0083096ad547b0ad2600fcdc854f4.tar.bz2
yosys-aa6d06c1b5b0083096ad547b0ad2600fcdc854f4.zip
Revert "Revert "synth_* with -retime option now calls abc with -D 1 as well""
This reverts commit 6008bb7002f874e5c748eaa2050e7b6c17b32745.
Diffstat (limited to '.clang-format')
0 files changed, 0 insertions, 0 deletions