aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/blinky.v
blob: 2137ad580ae3f6a8cffbe4e04bb2500bb036f8fd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
module top(input clk, rst, output [7:0] leds);

// TODO: Test miter circuit without reset value.
reg [7:0] ctr = 8'h00;
always @(posedge clk)
	if (rst)
		ctr <= 8'h00;
	else
		ctr <= ctr + 1'b1;

assign leds = ctr;

endmodule