aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/carry_tests/test.sh
blob: 0ba63365b445d8aeb7d58185270d9078fcc93730 (plain)
1
2
3
4
5
6
7
8
9
#!/usr/bin/env bash
set -ex
NAME=${1%.v}
yosys -p "synth_ice40 -top top; write_json ${NAME}.json" $1
../../nextpnr-ice40 --json ${NAME}.json --pcf test.pcf --asc ${NAME}.asc --verbose
icebox_vlog -p test.pcf -L ${NAME}.asc > ${NAME}_out.v
iverilog -o ${NAME}_sim.out ${NAME}_tb.v ${NAME}_out.v 
vvp ${NAME}_sim.out