aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/carry_tests/counter.v
blob: 8906ff4560d4e5503811fc9fdfb48fc2cc1575c5 (plain)
1
2
3
4
5
6
7
8
9
module top(input clk, cen, rst, ina, inb, output outa, outb, outc, outd);

    reg [3:0] ctr = 0;

    always @(posedge clk)
        ctr <= ctr + 1'b1;

    assign {outa, outb, outc, outd} = ctr;
endmodule