aboutsummaryrefslogtreecommitdiffstats
path: root/generic/examples/blinky.v
blob: b7cb1b86fa7d0f1d3b56701c7d231c3f31a519a2 (plain)
1
2
3
4
5
6
7
8
9
module top(input clk, output reg [7:0] leds);

reg [25:0] ctr;
always @(posedge clk)
	ctr <= ctr + 1'b1;

assign leds = ctr[25:18];

endmodule