aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/const_wire/wire.v
blob: 5b1ab692a32f3e45e11a01a21b6d6e49aeb2a506 (plain)
1
2
3
4
5
6
7
8
module top(output o, output o2, output o3, output o4);

assign o = 1'b0;
assign o2 = 1'b1;
assign o3 = 1'b0;
assign o4 = 1'b1;

endmodule