aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky.v
blob: aba588011b06b4449aad9ae2402a3107e8bd8b10 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
module top(input clk_pin, output [3:0] led_pin);

	wire clk;
	wire [3:0] led;

	TRELLIS_IO #(.DIR("INPUT")) clk_buf (.B(clk_pin), .O(clk));
	TRELLIS_IO #(.DIR("OUTPUT")) led_buf [3:0] (.B(led_pin), .I(led));

	reg [25:0] ctr = 0;

	always@(posedge clk)
		ctr <= ctr + 1'b1;

	assign led = ctr[25:22];

endmodule