aboutsummaryrefslogtreecommitdiffstats
Commit message (Collapse)AuthorAgeFilesLines
...
* Merge pull request #423 from rschlaikjer/rschlaikjer-regmode-timing-databaseDavid Shah2020-04-073-4/+33
|\ | | | | Add support for REGMODE to DP16KD
| * No need to fetch contextRoss Schlaikjer2020-04-071-3/+2
| |
| * Change assert to errorRoss Schlaikjer2020-04-071-2/+5
| |
| * Rearrange bool algebraRoss Schlaikjer2020-04-071-2/+2
| |
| * Actually just move all the logic to ArchInfoRoss Schlaikjer2020-04-073-19/+23
| |
| * Extract regmode configuration to ArchInfoRoss Schlaikjer2020-04-073-8/+16
| |
| * Change timing database lookup based on REGMODE valueRoss Schlaikjer2020-04-071-4/+19
| |
* | Merge pull request #419 from garytwong/handle-opendrainDavid Shah2020-04-071-0/+3
|\ \ | | | | | | Handle OPENDRAIN attribute.
| * | Handle OPENDRAIN attribute.Gary Wong2020-04-031-0/+3
| | |
* | | Merge pull request #421 from garytwong/fix-lpf-locate-assertion-failureDavid Shah2020-04-071-0/+2
|\ \ \ | | | | | | | | Fix assertion failure on invalid LOCATE input.
| * | | Fix assertion failure on invalid LOCATE input.Gary Wong2020-04-051-0/+2
| |/ / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Trying to parse this invalid LPF syntax: LOCATE COMP "a" SITE "A1" IOBUF PORT "a" IO_TYPE=LVCMOS33; (note missing semicolon on first line) gives an assertion failure in strip_quotes, because the fifth token is scanned as "A1"IOBUF (without a trailing quote). Avoid the problem by detecting extraneous input and issuing a more specific error.
* | | Merge pull request #420 from ironsteel/fix-reporting-of-default-routerDavid Shah2020-04-041-1/+1
|\ \ \ | |/ / |/| | command.cc: Use correct constant for default router
| * | command.cc: Use correct constant for default routerRangel Ivanov2020-04-041-1/+1
| | | | | | | | | | | | | | | | | | Otherwise --help reports that the default router is heap Signed-off-by: Rangel Ivanov <rangelivanov88@gmail.com>
* | | ecp5: Allow use of IDDRXN and ODDRXN type primitives on the same pinDavid Shah2020-04-031-0/+10
| | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | | Merge pull request #418 from garytwong/usrmclk-worksDavid Shah2020-04-031-1/+1
|\ \ \ | | | | | | | | Remove comment about the USRMCLK primitive being untested.
| * | | Remove comment about the USRMCLK primitive being untested.Gary Wong2020-04-021-1/+1
|/ / / | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | Tested and verified working: the trivial configuration: module USRMCLK( USRMCLKI, USRMCLKTS ); input USRMCLKI, USRMCLKTS; endmodule module top( input clk ); reg[ 24:0 ] count = 0; always @( posedge clk ) begin count <= count + 1'b1; end USRMCLK mspi( .USRMCLKI( count[ 20 ] ), .USRMCLKTS( count[ 24 ] ) ); endmodule produces the expected output (toggling at high frequency, toggling tri-state at lower frequency) on an LFE5U-85 when fed with an appropriate clock. See https://bayimg.com/AAnNKAAGO for an example. The top (magenta) trace is the MCLK line.
* | | Merge pull request #417 from hackfin/masterDavid Shah2020-04-021-2/+7
|\ \ \ | |/ / |/| | Enum/int compatibility for EHXPLLL parameters
| * | Enum/int compatibility for EHXPLLL parametersMartin2020-04-021-2/+7
|/ / | | | | | | | | | | - Lattice component EHXPLLL parameter compatibility, allowing to pass an int parameter for the enum (as expected by trellis tile) e.g. CLKOP_TRIM_DELAY : integer := 0;
* | ice40: Derive oscillator frequency constraintsDavid Shah2020-03-291-0/+40
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Merge pull request #415 from smunaut/fix_iob_oe_regDavid Shah2020-03-291-1/+1
|\ \ | | | | | | ice40: Fix output register timing analysis for registered output enable
| * | ice40: Fix output register timing analysis for registered output enableSylvain Munaut2020-03-291-1/+1
|/ / | | | | | | | | | | | | Wrong bits were being tested. [5:4] is what's controlling the output enable path. Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
* | Merge pull request #410 from YosysHQ/ecp5-12kDavid Shah2020-03-254-7/+21
|\ \ | | | | | | ecp5: Proper support for '12k' device
| * | ecp5: Proper support for '12k' deviceDavid Shah2020-03-134-7/+21
| | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | | router2: Prevent overflowDavid Shah2020-03-221-2/+3
| |/ |/| | | | | Signed-off-by: David Shah <dave@ds0.me>
* | ice40: Always copy DFF attrs to LCDavid Shah2020-03-191-0/+5
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Merge branch 'master' of ssh.github.com:YosysHQ/nextpnrDavid Shah2020-03-175-9/+58
|\ \
| * | Replace assertion failure with errorDavid Shah2020-03-131-5/+15
| |/ | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * Merge pull request #400 from YosysHQ/dave/tri-fixesDavid Shah2020-03-102-2/+34
| |\ | | | | | | Improve handling of unused inout port bits
| | * Improve handling of unused inout port bitsDavid Shah2020-02-252-2/+34
| | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | ecp5: Fix differential inputsDavid Shah2020-03-081-1/+6
| | | | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
| * | Merge pull request #406 from gojimmypi/patch-1David Shah2020-03-061-1/+3
| |\ \ | | | | | | | | Update README with TRELLIS_INSTALL_PREFIX example
| | * | update README TRELLIS_INSTALL_PREFIX examplegojimmypi2020-03-041-1/+2
| | | | | | | | | | | | | | | | | | | | `TRELLIS_INSTALL_PREFIX` will tell `next-pnr` to look in both `share` and `lib` directories. See https://github.com/YosysHQ/nextpnr/pull/406#discussion_r387945570
| | * | Update README TRELLIS_INSTALL_PREFIX example gojimmypi2020-03-041-1/+1
| | | | | | | | | | | | per https://github.com/YosysHQ/nextpnr/pull/406#discussion_r387937313 it is not an install directory
| | * | Update README with TRELLIS_INSTALL_PREFIX examplegojimmypi2020-03-041-1/+2
| | | | | | | | | | | | see https://github.com/YosysHQ/nextpnr/pull/404 and https://github.com/SymbiFlow/prjtrellis/pull/123
* | | | timing: Improve robustness to dangling/undriven logicDavid Shah2020-03-171-0/+10
|/ / / | | | | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* / / Improve handling of unflattened netlistsDavid Shah2020-03-061-0/+2
|/ / | | | | | | Signed-off-by: David Shah <dave@ds0.me>
* | Merge pull request #405 from smunaut/fix_key_errorDavid Shah2020-03-041-5/+11
|\ \ | | | | | | pycontainers: Properly handle KeyErrors
| * | pycontainers: Properly handle KeyErrorsSylvain Munaut2020-03-041-5/+11
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | We raise a C++ exception to abort the rest of the execution of the function. At the same time we standardize on using a throw runtime error as the method to avoid warning when not returning values. (some places used this throw and some other used std::terminate) Fixes #403 Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
* | | Merge pull request #404 from smunaut/fix_prefix_defaultDavid Shah2020-03-042-3/+3
|\ \ \ | |/ / |/| | build: Default the ECP5 and iCE40 roots to the install prefix
| * | build: Default the ECP5 and iCE40 roots to the install prefixSylvain Munaut2020-03-032-3/+3
|/ / | | | | | | | | | | | | | | | | If the user specifies a custom install prefix, chances are icestrom/trellis are also in that prefix rather than the hardcoded /usr/local Fixes #351 Signed-off-by: Sylvain Munaut <tnt@246tNt.com>
* | cleanupMiodrag Milanovic2020-02-281-1/+1
| |
* | Add support for pasting multiline code to pythonMiodrag Milanovic2020-02-282-6/+25
| |
* | Merge pull request #402 from zyp/fix_sortMiodrag Milanović2020-02-281-8/+3
|\ \ | | | | | | gui: Fix sorting order in TreeModel.
| * | gui: Fix sorting order in TreeModel.Vegard Storheil Eriksen2020-02-281-8/+3
|/ /
* | gui: Fix undefined behavior in TreeModel.Vegard Storheil Eriksen2020-02-281-1/+1
| | | | | | | | | | | | std::sort() requires the comparison function to return false for even comparison. Returning true results in undefined behavior and a potential segfault.
* | Add SVG option to guiMiodrag Milanovic2020-02-274-0/+30
|/
* Merge pull request #398 from YosysHQ/svgDavid Shah2020-02-157-0/+168
|\ | | | | svg: Basic SVG graphics rendering
| * svg: Basic SVG graphics renderingDavid Shah2020-02-157-0/+168
|/ | | | Signed-off-by: David Shah <dave@ds0.me>
* Merge pull request #393 from YosysHQ/dave/xilinx-place-backportDavid Shah2020-02-134-127/+348
|\ | | | | Backporting some placer changes from nextpnr-xilinx
| * placer1: Add routeability optimisation (off by default)David Shah2020-02-122-3/+89
| | | | | | | | Signed-off-by: David Shah <dave@ds0.me>