aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/nexys_video.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/nexys_video.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/nexys_video.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/wire/nexys_video.xdc b/fpga_interchange/examples/tests/wire/nexys_video.xdc
new file mode 100644
index 00000000..326f77cb
--- /dev/null
+++ b/fpga_interchange/examples/tests/wire/nexys_video.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN E22 [get_ports i]
+set_property PACKAGE_PIN T14 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i]
+set_property IOSTANDARD LVCMOS33 [get_ports o]