diff options
Diffstat (limited to 'fpga_interchange/examples/tests/wire/lifcl40evn.xdc')
-rw-r--r-- | fpga_interchange/examples/tests/wire/lifcl40evn.xdc | 5 |
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/wire/lifcl40evn.xdc b/fpga_interchange/examples/tests/wire/lifcl40evn.xdc new file mode 100644 index 00000000..c1a87488 --- /dev/null +++ b/fpga_interchange/examples/tests/wire/lifcl40evn.xdc @@ -0,0 +1,5 @@ +set_property PACKAGE_PIN G19 [get_ports i] +set_property PACKAGE_PIN E17 [get_ports o] + +set_property IOSTANDARD LVCMOS33 [get_ports i] +set_property IOSTANDARD LVCMOS33 [get_ports o] |