aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/ff
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/ff')
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/ff/ff_arty.xdc (renamed from fpga_interchange/examples/tests/ff/ff.xdc)0
-rw-r--r--fpga_interchange/examples/tests/ff/ff_basys3.xdc9
3 files changed, 13 insertions, 4 deletions
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index 30ae0417..953e6038 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name ff_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc ff.xdc
+ xdc ff_basys3.xdc
sources ff.v
)
add_interchange_test(
name ff_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc ff.xdc
+ xdc ff_arty.xdc
sources ff.v
)
diff --git a/fpga_interchange/examples/tests/ff/ff.xdc b/fpga_interchange/examples/tests/ff/ff_arty.xdc
index 3c132f1d..3c132f1d 100644
--- a/fpga_interchange/examples/tests/ff/ff.xdc
+++ b/fpga_interchange/examples/tests/ff/ff_arty.xdc
diff --git a/fpga_interchange/examples/tests/ff/ff_basys3.xdc b/fpga_interchange/examples/tests/ff/ff_basys3.xdc
new file mode 100644
index 00000000..ef65112a
--- /dev/null
+++ b/fpga_interchange/examples/tests/ff/ff_basys3.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN W5 [get_ports clk]
+set_property PACKAGE_PIN U16 [get_ports d]
+set_property PACKAGE_PIN E19 [get_ports r]
+set_property PACKAGE_PIN U19 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]