aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/const_wire/wire_arty.xdc')
-rw-r--r--fpga_interchange/examples/tests/const_wire/wire_arty.xdc9
1 files changed, 9 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/const_wire/wire_arty.xdc b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
new file mode 100644
index 00000000..0d96fc45
--- /dev/null
+++ b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN N15 [get_ports o]
+set_property PACKAGE_PIN N16 [get_ports o2]
+set_property PACKAGE_PIN P17 [get_ports o3]
+set_property PACKAGE_PIN R17 [get_ports o4]
+
+set_property IOSTANDARD LVCMOS33 [get_ports o]
+set_property IOSTANDARD LVCMOS33 [get_ports o2]
+set_property IOSTANDARD LVCMOS33 [get_ports o3]
+set_property IOSTANDARD LVCMOS33 [get_ports o4]